repo_name
stringlengths
6
92
path
stringlengths
7
220
copies
stringclasses
78 values
size
stringlengths
2
9
content
stringlengths
15
1.05M
license
stringclasses
15 values
goedman/RobGoedmansNotebooks.jl
notebooks/SheehanOlver/02.ipynb
1
6699
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# What is a string?\n", "\n", "Strings can be created with quotation marks" ] }, { "cell_type": "code", "execution_count": 77, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "\"hello world 😀\"" ] }, "execution_count": 77, "metadata": {}, "output_type": "execute_result" } ], "source": [ "str=\"hello world 😀\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can access characters of a string with brackets:" ] }, { "cell_type": "code", "execution_count": 84, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "('h','😀')" ] }, "execution_count": 84, "metadata": {}, "output_type": "execute_result" } ], "source": [ "str[1],str[13]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Spaces are also characters" ] }, { "cell_type": "code", "execution_count": 80, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "' '" ] }, "execution_count": 80, "metadata": {}, "output_type": "execute_result" } ], "source": [ "str[6]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Each character is a bit type, in this case using 32 bits/8 bytes:" ] }, { "cell_type": "code", "execution_count": 82, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(Char,32)" ] }, "execution_count": 82, "metadata": {}, "output_type": "execute_result" } ], "source": [ "typeof(str[6]), length(bits(str[6]))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Strings are not bit types, but rather point to the start of sequence of `Char` in memory. In this case, there are $32*13=416$ bits/52 bytes in memory" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# What is a Vector?\n", "\n", "We can create a vector using brackets:" ] }, { "cell_type": "code", "execution_count": 83, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "3-element Array{Int64,1}:\n", " 11\n", " 24\n", " 32" ] }, "execution_count": 83, "metadata": {}, "output_type": "execute_result" } ], "source": [ "v=[11,24,32]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " Like a string, elements are accessed via brackets:" ] }, { "cell_type": "code", "execution_count": 85, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(11,32)" ] }, "execution_count": 85, "metadata": {}, "output_type": "execute_result" } ], "source": [ "v[1],v[3]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Accessing outside the range gives an error" ] }, { "cell_type": "code", "execution_count": 86, "metadata": { "collapsed": false }, "outputs": [ { "ename": "LoadError", "evalue": "LoadError: BoundsError: attempt to access 3-element Array{Int64,1}:\n 11\n 24\n 32\n at index [4]\nwhile loading In[86], in expression starting on line 1", "output_type": "error", "traceback": [ "LoadError: BoundsError: attempt to access 3-element Array{Int64,1}:\n 11\n 24\n 32\n at index [4]\nwhile loading In[86], in expression starting on line 1", "", " in getindex at array.jl:282" ] } ], "source": [ "v[4]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Vectors can be made with different types, for example, here is a vector of 3 8-bit integers:" ] }, { "cell_type": "code", "execution_count": 87, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "3-element Array{Int8,1}:\n", " 11\n", " 24\n", " 32" ] }, "execution_count": 87, "metadata": {}, "output_type": "execute_result" } ], "source": [ "v=[Int8(11),Int8(24),Int8(32)]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Just like strings, Vectors are not bit types, but rather point to the start of sequence of the corresponding type. In this last case, there are $3*8=24$ bits/3 bytes in memory" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Parsing strings\n", "\n", "We can use the command `parse` to turn a string into an integer" ] }, { "cell_type": "code", "execution_count": 88, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "123" ] }, "execution_count": 88, "metadata": {}, "output_type": "execute_result" } ], "source": [ "parse(Int,\"123\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can specify base 2 by adding a 2 at the end:" ] }, { "cell_type": "code", "execution_count": 90, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "128586" ] }, "execution_count": 90, "metadata": {}, "output_type": "execute_result" } ], "source": [ "bts=\"00000000000000011111011001001010\"\n", "x=parse(Int32,bts,2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "`reinterpret` allows us to reinterpret the resulting sequence of 32 bits as a different type, for example, a `Char`" ] }, { "cell_type": "code", "execution_count": 91, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'🙊'" ] }, "execution_count": 91, "metadata": {}, "output_type": "execute_result" } ], "source": [ "reinterpret(Char,x)" ] } ], "metadata": { "kernelspec": { "display_name": "Julia 0.4.3", "language": "julia", "name": "julia-0.4" }, "language_info": { "file_extension": ".jl", "mimetype": "application/julia", "name": "julia", "version": "0.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
opengridcc/opengrid
notebooks/Multi-variable Linear Regression Demo.ipynb
1
65888
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Multi-variable linear regression\n", "\n", "The multivariable linear regression analysis is used to create a model of a single variable, typically an energy consumption. We call this the *dependent* variable. The model is constructed as a linear combination of *explanatory* variables, like weather measurements or occupation. More information can be found on <a href=\"https://en.wikipedia.org/wiki/Linear_regression\" target=\"_blank\">wikipedia</a>.\n", "\n", "\n", "The model is static. This means that the data set should not contain dynamic effects. For buildings, dynamic effects are mostly neglegible on a weekly basis unless the building has a very high thermal inertia.\n", "\n", "Typical use of this analysis is to create a model of eg. the gas consumption of a building, and then use this model to detect and quantify changes in the gas consumption. For example, the savings resulting from a new gas boiler can be computed as the difference between the consumption predicted by the model and the actual consumption. \n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Imports and loading data" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "import opengrid as og\n", "import pandas as pd\n", "plt = og.plot_style()" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "df = og.datasets.get('gas_2016_hour')\n", "# for this demo, we only compute a model for the sensor 313b\n", "df = df[['313b']]\n", "# load weather\n", "dfw = og.datasets.get('weather_2016_hour')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Compute degree-days\n", "\n", "First we compute heating degree-days for different base temperatures. More information on the computation of degree-days can be found in [this demo](https://opengridcc.github.io/analysis/degree-days)." ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [ "# resample weather data to daily values and compute degree-days\n", "dfw = dfw.resample('D').mean()\n", "dfw_HDD = og.library.weather.compute_degree_days(ts=dfw['temperature'],\n", " heating_base_temperatures=range(8, 18, 2),\n", " cooling_base_temperatures=range(16, 26, 2)).bfill()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [], "source": [ "# resample the gas consumption to daily values and add the weather data and the degree-days\n", "df_day = df.resample('D').sum()/1000. # kWh/day\n", "df_day = pd.concat([df_day, dfw, dfw_HDD], axis=1).loc['2016']" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Create a monthly model for the gas consumption " ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [], "source": [ "# resample to monthly data and plot \n", "df_month = df_day.resample('MS').sum()" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [], "source": [ "# create the model\n", "mvlr = og.MultiVarLinReg(df_month, endog='313b')" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " OLS Regression Results \n", "==============================================================================\n", "Dep. Variable: Q('313b') R-squared: 0.986\n", "Model: OLS Adj. R-squared: 0.985\n", "Method: Least Squares F-statistic: 727.3\n", "Date: Thu, 18 Jan 2018 Prob (F-statistic): 1.14e-10\n", "Time: 11:04:54 Log-Likelihood: -75.275\n", "No. Observations: 12 AIC: 154.5\n", "Df Residuals: 10 BIC: 155.5\n", "Df Model: 1 \n", "Covariance Type: nonrobust \n", "===============================================================================\n", " coef std err t P>|t| [0.025 0.975]\n", "-------------------------------------------------------------------------------\n", "Intercept 114.4892 62.260 1.839 0.096 -24.234 253.213\n", "Q('HDD_14') 9.0966 0.337 26.969 0.000 8.345 9.848\n", "==============================================================================\n", "Omnibus: 4.298 Durbin-Watson: 1.373\n", "Prob(Omnibus): 0.117 Jarque-Bera (JB): 1.214\n", "Skew: 0.091 Prob(JB): 0.545\n", "Kurtosis: 1.452 Cond. No. 283.\n", "==============================================================================\n", "\n", "Warnings:\n", "[1] Standard Errors assume that the covariance matrix of the errors is correctly specified.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/usr/lib/python3.6/site-packages/scipy/stats/stats.py:1390: UserWarning: kurtosistest only valid for n>=20 ... continuing anyway, n=12\n", " \"anyway, n=%i\" % int(n))\n", "/home/roel/work/opengrid/code/og/opengrid/library/regression.py:394: SettingWithCopyWarning: \n", "A value is trying to be set on a copy of a slice from a DataFrame\n", "\n", "See the caveats in the documentation: http://pandas.pydata.org/pandas-docs/stable/indexing.html#indexing-view-versus-copy\n", " dfmodel.sort_index(inplace=True)\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f6b6b3b6fd0>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f6b6b2e3940>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [ "[<matplotlib.figure.Figure at 0x7f6b6b3b6fd0>,\n", " <matplotlib.figure.Figure at 0x7f6b6b2e3940>]" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "print(mvlr.fit.summary())\n", "mvlr.plot()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.4" } }, "nbformat": 4, "nbformat_minor": 1 }
apache-2.0
woobe/h2o_tutorials
introduction_to_machine_learning/py_04b_classification_ensembles.ipynb
1
26781
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Machine Learning with H2O - Tutorial 4b: Classification Models (Ensembles)\n", "\n", "<hr>\n", "\n", "**Objective**:\n", "\n", "- This tutorial explains how to create stacked ensembles of classification models for better out-of-bag performance.\n", "\n", "<hr>\n", "\n", "**Titanic Dataset:**\n", "\n", "- Source: https://www.kaggle.com/c/titanic/data\n", "\n", "<hr>\n", " \n", "**Steps**:\n", "\n", "1. Build GBM models using random grid search and extract the best one.\n", "2. Build DRF models using random grid search and extract the best one. \n", "3. Use model stacking to combining different models.\n", "\n", "\n", "<hr>\n", "\n", "**Full Technical Reference:**\n", "\n", "- http://docs.h2o.ai/h2o/latest-stable/h2o-py/docs/modeling.html\n", "- http://docs.h2o.ai/h2o/latest-stable/h2o-docs/data-science/stacked-ensembles.html\n", "\n", "<br>\n" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "scrolled": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Checking whether there is an H2O instance running at http://localhost:54321..... not found.\n", "Attempting to start a local H2O server...\n", " Java Version: openjdk version \"1.8.0_131\"; OpenJDK Runtime Environment (build 1.8.0_131-8u131-b11-0ubuntu1.16.04.2-b11); OpenJDK 64-Bit Server VM (build 25.131-b11, mixed mode)\n", " Starting server from /home/joe/anaconda3/lib/python3.6/site-packages/h2o/backend/bin/h2o.jar\n", " Ice root: /tmp/tmpbf3ymcq4\n", " JVM stdout: /tmp/tmpbf3ymcq4/h2o_joe_started_from_python.out\n", " JVM stderr: /tmp/tmpbf3ymcq4/h2o_joe_started_from_python.err\n", " Server is running at http://127.0.0.1:54321\n", "Connecting to H2O server at http://127.0.0.1:54321... successful.\n" ] }, { "data": { "text/html": [ "<div style=\"overflow:auto\"><table style=\"width:50%\"><tr><td>H2O cluster uptime:</td>\n", "<td>01 secs</td></tr>\n", "<tr><td>H2O cluster version:</td>\n", "<td>3.10.5.2</td></tr>\n", "<tr><td>H2O cluster version age:</td>\n", "<td>10 days </td></tr>\n", "<tr><td>H2O cluster name:</td>\n", "<td>H2O_from_python_joe_oj6td1</td></tr>\n", "<tr><td>H2O cluster total nodes:</td>\n", "<td>1</td></tr>\n", "<tr><td>H2O cluster free memory:</td>\n", "<td>5.210 Gb</td></tr>\n", "<tr><td>H2O cluster total cores:</td>\n", "<td>8</td></tr>\n", "<tr><td>H2O cluster allowed cores:</td>\n", "<td>8</td></tr>\n", "<tr><td>H2O cluster status:</td>\n", "<td>accepting new members, healthy</td></tr>\n", "<tr><td>H2O connection url:</td>\n", "<td>http://127.0.0.1:54321</td></tr>\n", "<tr><td>H2O connection proxy:</td>\n", "<td>None</td></tr>\n", "<tr><td>H2O internal security:</td>\n", "<td>False</td></tr>\n", "<tr><td>Python version:</td>\n", "<td>3.6.1 final</td></tr></table></div>" ], "text/plain": [ "-------------------------- ------------------------------\n", "H2O cluster uptime: 01 secs\n", "H2O cluster version: 3.10.5.2\n", "H2O cluster version age: 10 days\n", "H2O cluster name: H2O_from_python_joe_oj6td1\n", "H2O cluster total nodes: 1\n", "H2O cluster free memory: 5.210 Gb\n", "H2O cluster total cores: 8\n", "H2O cluster allowed cores: 8\n", "H2O cluster status: accepting new members, healthy\n", "H2O connection url: http://127.0.0.1:54321\n", "H2O connection proxy:\n", "H2O internal security: False\n", "Python version: 3.6.1 final\n", "-------------------------- ------------------------------" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Import all required modules\n", "import h2o\n", "from h2o.estimators.gbm import H2OGradientBoostingEstimator\n", "from h2o.estimators.random_forest import H2ORandomForestEstimator\n", "from h2o.estimators.deeplearning import H2ODeepLearningEstimator\n", "from h2o.estimators.stackedensemble import H2OStackedEnsembleEstimator\n", "from h2o.grid.grid_search import H2OGridSearch\n", "\n", "# Start and connect to a local H2O cluster\n", "h2o.init(nthreads = -1)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<br>" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Parse progress: |█████████████████████████████████████████████████████████| 100%\n" ] }, { "data": { "text/html": [ "<table>\n", "<thead>\n", "<tr><th style=\"text-align: right;\"> PassengerId</th><th style=\"text-align: right;\"> Survived</th><th style=\"text-align: right;\"> Pclass</th><th>Name </th><th>Sex </th><th style=\"text-align: right;\"> Age</th><th style=\"text-align: right;\"> SibSp</th><th style=\"text-align: right;\"> Parch</th><th style=\"text-align: right;\"> Ticket</th><th style=\"text-align: right;\"> Fare</th><th>Cabin </th><th>Embarked </th></tr>\n", "</thead>\n", "<tbody>\n", "<tr><td style=\"text-align: right;\"> 1</td><td style=\"text-align: right;\"> 0</td><td style=\"text-align: right;\"> 3</td><td>Braund, Mr. Owen Harris </td><td>male </td><td style=\"text-align: right;\"> 22</td><td style=\"text-align: right;\"> 1</td><td style=\"text-align: right;\"> 0</td><td style=\"text-align: right;\"> nan</td><td style=\"text-align: right;\"> 7.25 </td><td> </td><td>S </td></tr>\n", "<tr><td style=\"text-align: right;\"> 2</td><td style=\"text-align: right;\"> 1</td><td style=\"text-align: right;\"> 1</td><td>Cumings, Mrs. John Bradley (Florence Briggs Thayer)</td><td>female</td><td style=\"text-align: right;\"> 38</td><td style=\"text-align: right;\"> 1</td><td style=\"text-align: right;\"> 0</td><td style=\"text-align: right;\"> nan</td><td style=\"text-align: right;\">71.2833</td><td>C85 </td><td>C </td></tr>\n", "<tr><td style=\"text-align: right;\"> 3</td><td style=\"text-align: right;\"> 1</td><td style=\"text-align: right;\"> 3</td><td>Heikkinen, Miss. Laina </td><td>female</td><td style=\"text-align: right;\"> 26</td><td style=\"text-align: right;\"> 0</td><td style=\"text-align: right;\"> 0</td><td style=\"text-align: right;\"> nan</td><td style=\"text-align: right;\"> 7.925 </td><td> </td><td>S </td></tr>\n", "<tr><td style=\"text-align: right;\"> 4</td><td style=\"text-align: right;\"> 1</td><td style=\"text-align: right;\"> 1</td><td>Futrelle, Mrs. Jacques Heath (Lily May Peel) </td><td>female</td><td style=\"text-align: right;\"> 35</td><td style=\"text-align: right;\"> 1</td><td style=\"text-align: right;\"> 0</td><td style=\"text-align: right;\"> 113803</td><td style=\"text-align: right;\">53.1 </td><td>C123 </td><td>S </td></tr>\n", "<tr><td style=\"text-align: right;\"> 5</td><td style=\"text-align: right;\"> 0</td><td style=\"text-align: right;\"> 3</td><td>Allen, Mr. William Henry </td><td>male </td><td style=\"text-align: right;\"> 35</td><td style=\"text-align: right;\"> 0</td><td style=\"text-align: right;\"> 0</td><td style=\"text-align: right;\"> 373450</td><td style=\"text-align: right;\"> 8.05 </td><td> </td><td>S </td></tr>\n", "</tbody>\n", "</table>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Import Titanic data (local CSV)\n", "titanic = h2o.import_file(\"kaggle_titanic.csv\")\n", "titanic.head(5)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Convert 'Survived' and 'Pclass' to categorical values\n", "titanic['Survived'] = titanic['Survived'].asfactor()\n", "titanic['Pclass'] = titanic['Pclass'].asfactor()" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Define features (or predictors) manually\n", "features = ['Pclass', 'Sex', 'Age', 'SibSp', 'Parch', 'Fare', 'Embarked']" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Split the H2O data frame into training/test sets\n", "# so we can evaluate out-of-bag performance\n", "titanic_split = titanic.split_frame(ratios = [0.8], seed = 1234)\n", "\n", "titanic_train = titanic_split[0] # using 80% for training\n", "titanic_test = titanic_split[1] # using the rest 20% for out-of-bag evaluation" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(712, 12)" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "titanic_train.shape" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(179, 12)" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "titanic_test.shape" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<br>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Define Search Criteria for Random Grid Search" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# define the criteria for random grid search\n", "search_criteria = {'strategy': \"RandomDiscrete\", \n", " 'max_models': 9,\n", " 'seed': 1234}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<br>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Step 1: Build GBM Models using Random Grid Search and Extract the Best Model" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# define the range of hyper-parameters for GBM grid search\n", "# 27 combinations in total\n", "hyper_params = {'sample_rate': [0.7, 0.8, 0.9],\n", " 'col_sample_rate': [0.7, 0.8, 0.9],\n", " 'max_depth': [3, 5, 7]}" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Set up GBM grid search\n", "# Add a seed for reproducibility\n", "gbm_rand_grid = H2OGridSearch(\n", " H2OGradientBoostingEstimator(\n", " model_id = 'gbm_rand_grid', \n", " seed = 1234,\n", " ntrees = 10000, \n", " nfolds = 5,\n", " fold_assignment = \"Modulo\", # needed for stacked ensembles\n", " keep_cross_validation_predictions = True, # needed for stacked ensembles\n", " stopping_metric = 'mse', \n", " stopping_rounds = 15, \n", " score_tree_interval = 1),\n", " search_criteria = search_criteria, # full grid search\n", " hyper_params = hyper_params)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "gbm Grid Build progress: |████████████████████████████████████████████████| 100%\n" ] } ], "source": [ "# Use .train() to start the grid search\n", "gbm_rand_grid.train(x = features, \n", " y = 'Survived', \n", " training_frame = titanic_train)" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " col_sample_rate max_depth sample_rate \\\n", "0 0.8 3 0.8 \n", "1 0.9 3 0.9 \n", "2 0.8 3 0.9 \n", "3 0.7 3 0.7 \n", "4 0.9 7 0.7 \n", "5 0.7 5 0.8 \n", "6 0.7 7 0.7 \n", "7 0.8 7 0.7 \n", "8 0.9 7 0.9 \n", "\n", " model_ids \\\n", "0 Grid_GBM_py_6_sid_bee0_model_python_1498775809226_1_model_3 \n", "1 Grid_GBM_py_6_sid_bee0_model_python_1498775809226_1_model_2 \n", "2 Grid_GBM_py_6_sid_bee0_model_python_1498775809226_1_model_7 \n", "3 Grid_GBM_py_6_sid_bee0_model_python_1498775809226_1_model_8 \n", "4 Grid_GBM_py_6_sid_bee0_model_python_1498775809226_1_model_6 \n", "5 Grid_GBM_py_6_sid_bee0_model_python_1498775809226_1_model_0 \n", "6 Grid_GBM_py_6_sid_bee0_model_python_1498775809226_1_model_1 \n", "7 Grid_GBM_py_6_sid_bee0_model_python_1498775809226_1_model_4 \n", "8 Grid_GBM_py_6_sid_bee0_model_python_1498775809226_1_model_5 \n", "\n", " auc \n", "0 0.866063061922249 \n", "1 0.8641045122620403 \n", "2 0.8622050567726142 \n", "3 0.8610653834789583 \n", "4 0.8580515807690684 \n", "5 0.8557004769743785 \n", "6 0.8542568908024145 \n", "7 0.8530665653623739 \n", "8 0.8423325313410156 \n", "\n" ] } ], "source": [ "# Sort and show the grid search results\n", "gbm_rand_grid_sorted = gbm_rand_grid.get_grid(sort_by='auc', decreasing=True)\n", "print(gbm_rand_grid_sorted)" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Model Summary: \n" ] }, { "data": { "text/html": [ "<div style=\"overflow:auto\"><table style=\"width:50%\"><tr><td><b></b></td>\n", "<td><b>number_of_trees</b></td>\n", "<td><b>number_of_internal_trees</b></td>\n", "<td><b>model_size_in_bytes</b></td>\n", "<td><b>min_depth</b></td>\n", "<td><b>max_depth</b></td>\n", "<td><b>mean_depth</b></td>\n", "<td><b>min_leaves</b></td>\n", "<td><b>max_leaves</b></td>\n", "<td><b>mean_leaves</b></td></tr>\n", "<tr><td></td>\n", "<td>74.0</td>\n", "<td>74.0</td>\n", "<td>14528.0</td>\n", "<td>3.0</td>\n", "<td>3.0</td>\n", "<td>3.0</td>\n", "<td>4.0</td>\n", "<td>8.0</td>\n", "<td>6.918919</td></tr></table></div>" ], "text/plain": [ " number_of_trees number_of_internal_trees model_size_in_bytes min_depth max_depth mean_depth min_leaves max_leaves mean_leaves\n", "-- ----------------- -------------------------- --------------------- ----------- ----------- ------------ ------------ ------------ -------------\n", " 74 74 14528 3 3 3 4 8 6.91892" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Extract the best model from random grid search\n", "best_gbm_model_id = gbm_rand_grid_sorted.model_ids[0]\n", "best_gbm_from_rand_grid = h2o.get_model(best_gbm_model_id)\n", "best_gbm_from_rand_grid.summary()" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "<br>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Step 2: Build DRF Models using Random Grid Search and Extract the Best Model" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# define the range of hyper-parameters for DRF grid search\n", "# 27 combinations in total\n", "hyper_params = {'sample_rate': [0.5, 0.6, 0.7],\n", " 'col_sample_rate_per_tree': [0.7, 0.8, 0.9],\n", " 'max_depth': [3, 5, 7]}" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Set up DRF grid search\n", "# Add a seed for reproducibility\n", "drf_rand_grid = H2OGridSearch(\n", " H2ORandomForestEstimator(\n", " model_id = 'drf_rand_grid', \n", " seed = 1234,\n", " ntrees = 200, \n", " nfolds = 5,\n", " fold_assignment = \"Modulo\", # needed for stacked ensembles\n", " keep_cross_validation_predictions = True), # needed for stacked ensembles\n", " search_criteria = search_criteria, # full grid search\n", " hyper_params = hyper_params)" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "drf Grid Build progress: |████████████████████████████████████████████████| 100%\n" ] } ], "source": [ "# Use .train() to start the grid search\n", "drf_rand_grid.train(x = features, \n", " y = 'Survived', \n", " training_frame = titanic_train)" ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " col_sample_rate_per_tree max_depth sample_rate \\\n", "0 0.8 7 0.5 \n", "1 0.9 7 0.5 \n", "2 0.9 7 0.7 \n", "3 0.7 7 0.5 \n", "4 0.7 5 0.6 \n", "5 0.8 3 0.7 \n", "6 0.8 3 0.6 \n", "7 0.7 3 0.5 \n", "8 0.9 3 0.7 \n", "\n", " model_ids \\\n", "0 Grid_DRF_py_6_sid_bee0_model_python_1498775809226_13356_model_4 \n", "1 Grid_DRF_py_6_sid_bee0_model_python_1498775809226_13356_model_6 \n", "2 Grid_DRF_py_6_sid_bee0_model_python_1498775809226_13356_model_5 \n", "3 Grid_DRF_py_6_sid_bee0_model_python_1498775809226_13356_model_1 \n", "4 Grid_DRF_py_6_sid_bee0_model_python_1498775809226_13356_model_0 \n", "5 Grid_DRF_py_6_sid_bee0_model_python_1498775809226_13356_model_7 \n", "6 Grid_DRF_py_6_sid_bee0_model_python_1498775809226_13356_model_3 \n", "7 Grid_DRF_py_6_sid_bee0_model_python_1498775809226_13356_model_8 \n", "8 Grid_DRF_py_6_sid_bee0_model_python_1498775809226_13356_model_2 \n", "\n", " auc \n", "0 0.8625469587607109 \n", "1 0.8618504917479212 \n", "2 0.8604828837955342 \n", "3 0.8603182643197839 \n", "4 0.8588240260014351 \n", "5 0.8546536659490945 \n", "6 0.854248448778017 \n", "7 0.853218521801528 \n", "8 0.8494998100544511 \n", "\n" ] } ], "source": [ "# Sort and show the grid search results\n", "drf_rand_grid_sorted = drf_rand_grid.get_grid(sort_by='auc', decreasing=True)\n", "print(drf_rand_grid_sorted)" ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Model Summary: \n" ] }, { "data": { "text/html": [ "<div style=\"overflow:auto\"><table style=\"width:50%\"><tr><td><b></b></td>\n", "<td><b>number_of_trees</b></td>\n", "<td><b>number_of_internal_trees</b></td>\n", "<td><b>model_size_in_bytes</b></td>\n", "<td><b>min_depth</b></td>\n", "<td><b>max_depth</b></td>\n", "<td><b>mean_depth</b></td>\n", "<td><b>min_leaves</b></td>\n", "<td><b>max_leaves</b></td>\n", "<td><b>mean_leaves</b></td></tr>\n", "<tr><td></td>\n", "<td>200.0</td>\n", "<td>200.0</td>\n", "<td>125030.0</td>\n", "<td>7.0</td>\n", "<td>7.0</td>\n", "<td>7.0</td>\n", "<td>24.0</td>\n", "<td>61.0</td>\n", "<td>41.0</td></tr></table></div>" ], "text/plain": [ " number_of_trees number_of_internal_trees model_size_in_bytes min_depth max_depth mean_depth min_leaves max_leaves mean_leaves\n", "-- ----------------- -------------------------- --------------------- ----------- ----------- ------------ ------------ ------------ -------------\n", " 200 200 125030 7 7 7 24 61 41" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Extract the best model from random grid search\n", "best_drf_model_id = drf_rand_grid_sorted.model_ids[0]\n", "best_drf_from_rand_grid = h2o.get_model(best_drf_model_id)\n", "best_drf_from_rand_grid.summary()" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "<br>" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "## Model Stacking" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Define a list of models to be stacked\n", "# i.e. best model from each grid\n", "all_ids = [best_gbm_model_id, best_drf_model_id]" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Set up Stacked Ensemble\n", "ensemble = H2OStackedEnsembleEstimator(model_id = \"my_ensemble\",\n", " base_models = all_ids)" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "stackedensemble Model Build progress: |███████████████████████████████████| 100%\n" ] } ], "source": [ "# use .train to start model stacking\n", "# GLM as the default metalearner\n", "ensemble.train(x = features, \n", " y = 'Survived', \n", " training_frame = titanic_train)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<br>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Comparison of Model Performance on Test Data" ] }, { "cell_type": "code", "execution_count": 22, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Best GBM model from Grid (AUC) : 0.8892284186401833\n", "Best DRF model from Grid (AUC) : 0.8903106697224344\n", "Stacked Ensembles (AUC) : 0.8918385536032595\n" ] } ], "source": [ "print('Best GBM model from Grid (AUC) : ', best_gbm_from_rand_grid.model_performance(titanic_test).auc())\n", "print('Best DRF model from Grid (AUC) : ', best_drf_from_rand_grid.model_performance(titanic_test).auc())\n", "print('Stacked Ensembles (AUC) : ', ensemble.model_performance(titanic_test).auc())" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<br>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<br>" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
GoogleCloudPlatform/asl-ml-immersion
notebooks/feature_engineering/solutions/5_tftransform_taxifare.ipynb
1
81069
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# TfTransform # \n", "\n", "\n", "\n", "\n", "**Learning Objectives**\n", "1. Preproccess data and engineer new features using TfTransform \n", "1. Create and deploy Apache Beam pipeline \n", "1. Use processed data to train taxifare model locally then serve a prediction\n", "\n", "## Overview \n", "While Pandas is fine for experimenting, for operationalization of your workflow it is better to do preprocessing in Apache Beam. This will also help if you need to preprocess data in flight, since Apache Beam allows for streaming. In this lab we will pull data from BigQuery then use Apache Beam TfTransform to process the data. \n", "\n", "Only specific combinations of TensorFlow/Beam are supported by tf.transform so make sure to get a combo that works. In this lab we will be using: \n", "* TFT 0.15.0\n", "* TF 2.0 \n", "* Apache Beam [GCP] 2.16.0" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Collecting apache-beam[gcp]==2.16.0\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/0f/15/a8065042472311383f34d94fe3ff611cc7ab092b0bf502ad097acb7406e3/apache_beam-2.16.0-cp35-cp35m-manylinux1_x86_64.whl (2.9MB)\n", "\u001b[K |████████████████████████████████| 2.9MB 4.8MB/s eta 0:00:01\n", "\u001b[?25hRequirement already satisfied: future<1.0.0,>=0.16.0 in /usr/local/lib/python3.5/dist-packages (from apache-beam[gcp]==2.16.0) (0.18.2)\n", "Requirement already satisfied: grpcio<2,>=1.12.1 in /usr/local/lib/python3.5/dist-packages (from apache-beam[gcp]==2.16.0) (1.25.0)\n", "Collecting hdfs<3.0.0,>=2.1.0\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/82/39/2c0879b1bcfd1f6ad078eb210d09dbce21072386a3997074ee91e60ddc5a/hdfs-2.5.8.tar.gz (41kB)\n", "\u001b[K |████████████████████████████████| 51kB 7.2MB/s eta 0:00:01\n", "\u001b[?25hCollecting pyyaml<4.0.0,>=3.12\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/9e/a3/1d13970c3f36777c583f136c136f804d70f500168edc1edea6daa7200769/PyYAML-3.13.tar.gz (270kB)\n", "\u001b[K |████████████████████████████████| 276kB 27.6MB/s eta 0:00:01\n", "\u001b[?25hCollecting httplib2<=0.12.0,>=0.8\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/ce/ed/803905d670b52fa0edfdd135337e545b4496c2ab3a222f1449b7256eb99f/httplib2-0.12.0.tar.gz (218kB)\n", "\u001b[K |████████████████████████████████| 225kB 49.4MB/s eta 0:00:01\n", "\u001b[?25hCollecting oauth2client<4,>=2.0.1\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/c0/7b/bc893e35d6ca46a72faa4b9eaac25c687ce60e1fbe978993fe2de1b0ff0d/oauth2client-3.0.0.tar.gz (77kB)\n", "\u001b[K |████████████████████████████████| 81kB 10.5MB/s eta 0:00:01\n", "\u001b[?25hCollecting pymongo<4.0.0,>=3.8.0\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/ba/9b/0b6989718669209b2e3451860ac098471891626f48636f8cca6a449e09ea/pymongo-3.10.0-cp35-cp35m-manylinux2014_x86_64.whl (459kB)\n", "\u001b[K |████████████████████████████████| 460kB 34.3MB/s eta 0:00:01\n", "\u001b[?25hRequirement already satisfied: protobuf<4,>=3.5.0.post1 in /usr/local/lib/python3.5/dist-packages (from apache-beam[gcp]==2.16.0) (3.10.0)\n", "Collecting crcmod<2.0,>=1.7\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/6b/b0/e595ce2a2527e169c3bcd6c33d2473c1918e0b7f6826a043ca1245dd4e5b/crcmod-1.7.tar.gz (89kB)\n", "\u001b[K |████████████████████████████████| 92kB 10.2MB/s eta 0:00:01\n", "\u001b[?25hCollecting dill<0.3.1,>=0.3.0\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/39/7a/70803635c850e351257029089d38748516a280864c97cbc73087afef6d51/dill-0.3.0.tar.gz (151kB)\n", "\u001b[K |████████████████████████████████| 153kB 29.2MB/s eta 0:00:01\n", "\u001b[?25hCollecting fastavro<0.22,>=0.21.4\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/ac/7d/e63a1ba78326e42a69bda88b1fcfca22ddd773c4cc51ae85b3b869abcff2/fastavro-0.21.24-cp35-cp35m-manylinux1_x86_64.whl (1.2MB)\n", "\u001b[K |████████████████████████████████| 1.2MB 18.2MB/s eta 0:00:01\n", "\u001b[?25hRequirement already satisfied: pydot<2,>=1.2.0 in /usr/local/lib/python3.5/dist-packages (from apache-beam[gcp]==2.16.0) (1.4.1)\n", "Collecting pyarrow<0.15.0,>=0.11.1; python_version >= \"3.0\" or platform_system != \"Windows\"\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/54/95/bcbe5658d6ac65af35996a80ed66d82c50f9c0b36424f4758cd54dd08d73/pyarrow-0.14.1-cp35-cp35m-manylinux2010_x86_64.whl (58.1MB)\n", "\u001b[K |████████████████████████████████| 58.1MB 127kB/s eta 0:00:01 |█████████▋ | 17.5MB 25.5MB/s eta 0:00:02\n", "\u001b[?25hRequirement already satisfied: python-dateutil<3,>=2.8.0 in /usr/local/lib/python3.5/dist-packages (from apache-beam[gcp]==2.16.0) (2.8.1)\n", "Collecting mock<3.0.0,>=1.0.1\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/e6/35/f187bdf23be87092bd0f1200d43d23076cee4d0dec109f195173fd3ebc79/mock-2.0.0-py2.py3-none-any.whl (56kB)\n", "\u001b[K |████████████████████████████████| 61kB 8.9MB/s eta 0:00:01\n", "\u001b[?25hRequirement already satisfied: pytz>=2018.3 in /usr/local/lib/python3.5/dist-packages (from apache-beam[gcp]==2.16.0) (2019.3)\n", "Collecting avro-python3<2.0.0,>=1.8.1; python_version >= \"3.0\"\n", " Downloading https://files.pythonhosted.org/packages/76/b2/98a736a31213d3e281a62bcae5572cf297d2546bc429accf36f9ee1604bf/avro-python3-1.9.1.tar.gz\n", "Collecting google-cloud-bigquery<1.18.0,>=1.6.0; extra == \"gcp\"\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/a4/96/1b9cf1d43869c47a205aad411dac7c3040df6093d63c39273fa4d4c45da7/google_cloud_bigquery-1.17.1-py2.py3-none-any.whl (142kB)\n", "\u001b[K |████████████████████████████████| 143kB 34.3MB/s eta 0:00:01\n", "\u001b[?25hRequirement already satisfied: cachetools<4,>=3.1.0; extra == \"gcp\" in /usr/local/lib/python3.5/dist-packages (from apache-beam[gcp]==2.16.0) (3.1.1)\n", "Collecting google-apitools<0.5.29,>=0.5.28; extra == \"gcp\"\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/7f/32/df3e36fd705a00092f1ffa9f41ce1df8dcb594ae313d239b87861a41fc2e/google-apitools-0.5.28.tar.gz (172kB)\n", "\u001b[K |████████████████████████████████| 174kB 34.5MB/s eta 0:00:01\n", "\u001b[?25hCollecting google-cloud-pubsub<1.1.0,>=0.39.0; extra == \"gcp\"\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/d3/91/07a82945a7396ea34debafd476724bb5fc267c292790fdf2138c693f95c5/google_cloud_pubsub-1.0.2-py2.py3-none-any.whl (118kB)\n", "\u001b[K |████████████████████████████████| 122kB 36.9MB/s eta 0:00:01\n", "\u001b[?25hRequirement already satisfied: google-cloud-core<2,>=0.28.1; extra == \"gcp\" in /usr/local/lib/python3.5/dist-packages (from apache-beam[gcp]==2.16.0) (1.0.3)\n", "Collecting google-cloud-bigtable<1.1.0,>=0.31.1; extra == \"gcp\"\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/95/af/0ef7d097a1d5ad0c843867600e86de915e8ab8864740f49a4636cfb51af6/google_cloud_bigtable-1.0.0-py2.py3-none-any.whl (232kB)\n", "\u001b[K |████████████████████████████████| 235kB 35.4MB/s eta 0:00:01\n", "\u001b[?25hCollecting google-cloud-datastore<1.8.0,>=1.7.1; extra == \"gcp\"\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/d0/aa/29cbcf8cf7d08ce2d55b9dce858f7c632b434cb6451bed17cb4275804217/google_cloud_datastore-1.7.4-py2.py3-none-any.whl (82kB)\n", "\u001b[K |████████████████████████████████| 92kB 11.3MB/s eta 0:00:01\n", "\u001b[?25hRequirement already satisfied: six>=1.5.2 in /usr/local/lib/python3.5/dist-packages (from grpcio<2,>=1.12.1->apache-beam[gcp]==2.16.0) (1.13.0)\n", "Collecting docopt\n", " Downloading https://files.pythonhosted.org/packages/a2/55/8f8cab2afd404cf578136ef2cc5dfb50baa1761b68c9da1fb1e4eed343c9/docopt-0.6.2.tar.gz\n", "Requirement already satisfied: requests>=2.7.0 in /usr/local/lib/python3.5/dist-packages (from hdfs<3.0.0,>=2.1.0->apache-beam[gcp]==2.16.0) (2.22.0)\n", "Requirement already satisfied: pyasn1>=0.1.7 in /usr/local/lib/python3.5/dist-packages (from oauth2client<4,>=2.0.1->apache-beam[gcp]==2.16.0) (0.4.7)\n", "Requirement already satisfied: pyasn1-modules>=0.0.5 in /usr/local/lib/python3.5/dist-packages (from oauth2client<4,>=2.0.1->apache-beam[gcp]==2.16.0) (0.2.7)\n", "Requirement already satisfied: rsa>=3.1.4 in /usr/local/lib/python3.5/dist-packages (from oauth2client<4,>=2.0.1->apache-beam[gcp]==2.16.0) (4.0)\n", "Requirement already satisfied: setuptools in /usr/local/lib/python3.5/dist-packages (from protobuf<4,>=3.5.0.post1->apache-beam[gcp]==2.16.0) (41.6.0)\n", "Requirement already satisfied: pyparsing>=2.1.4 in /usr/local/lib/python3.5/dist-packages (from pydot<2,>=1.2.0->apache-beam[gcp]==2.16.0) (2.4.5)\n", "Requirement already satisfied: numpy>=1.14 in /usr/local/lib/python3.5/dist-packages (from pyarrow<0.15.0,>=0.11.1; python_version >= \"3.0\" or platform_system != \"Windows\"->apache-beam[gcp]==2.16.0) (1.17.4)\n", "Collecting pbr>=0.11\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/7a/db/a968fd7beb9fe06901c1841cb25c9ccb666ca1b9a19b114d1bbedf1126fc/pbr-5.4.4-py2.py3-none-any.whl (110kB)\n", "\u001b[K |████████████████████████████████| 112kB 42.9MB/s eta 0:00:01\n", "\u001b[?25hRequirement already satisfied: google-resumable-media<0.5.0dev,>=0.3.1 in /usr/local/lib/python3.5/dist-packages (from google-cloud-bigquery<1.18.0,>=1.6.0; extra == \"gcp\"->apache-beam[gcp]==2.16.0) (0.4.1)\n", "Collecting fasteners>=0.14\n", " Downloading https://files.pythonhosted.org/packages/18/bd/55eb2d6397b9c0e263af9d091ebdb756b15756029b3cededf6461481bc63/fasteners-0.15-py2.py3-none-any.whl\n", "Requirement already satisfied: grpc-google-iam-v1<0.13dev,>=0.12.3 in /usr/local/lib/python3.5/dist-packages (from google-cloud-pubsub<1.1.0,>=0.39.0; extra == \"gcp\"->apache-beam[gcp]==2.16.0) (0.12.3)\n", "Requirement already satisfied: google-api-core[grpc]<2.0.0dev,>=1.14.0 in /usr/local/lib/python3.5/dist-packages (from google-cloud-pubsub<1.1.0,>=0.39.0; extra == \"gcp\"->apache-beam[gcp]==2.16.0) (1.14.3)\n", "Requirement already satisfied: chardet<3.1.0,>=3.0.2 in /usr/local/lib/python3.5/dist-packages (from requests>=2.7.0->hdfs<3.0.0,>=2.1.0->apache-beam[gcp]==2.16.0) (3.0.4)\n", "Requirement already satisfied: idna<2.9,>=2.5 in /usr/local/lib/python3.5/dist-packages (from requests>=2.7.0->hdfs<3.0.0,>=2.1.0->apache-beam[gcp]==2.16.0) (2.8)\n", "Requirement already satisfied: urllib3!=1.25.0,!=1.25.1,<1.26,>=1.21.1 in /usr/local/lib/python3.5/dist-packages (from requests>=2.7.0->hdfs<3.0.0,>=2.1.0->apache-beam[gcp]==2.16.0) (1.24.2)\n", "Requirement already satisfied: certifi>=2017.4.17 in /usr/local/lib/python3.5/dist-packages (from requests>=2.7.0->hdfs<3.0.0,>=2.1.0->apache-beam[gcp]==2.16.0) (2019.9.11)\n", "Collecting monotonic>=0.1\n", " Downloading https://files.pythonhosted.org/packages/ac/aa/063eca6a416f397bd99552c534c6d11d57f58f2e94c14780f3bbf818c4cf/monotonic-1.5-py2.py3-none-any.whl\n", "Requirement already satisfied: googleapis-common-protos[grpc]<2.0.0dev,>=1.5.2 in /usr/local/lib/python3.5/dist-packages (from grpc-google-iam-v1<0.13dev,>=0.12.3->google-cloud-pubsub<1.1.0,>=0.39.0; extra == \"gcp\"->apache-beam[gcp]==2.16.0) (1.6.0)\n", "Requirement already satisfied: google-auth<2.0dev,>=0.4.0 in /usr/local/lib/python3.5/dist-packages (from google-api-core[grpc]<2.0.0dev,>=1.14.0->google-cloud-pubsub<1.1.0,>=0.39.0; extra == \"gcp\"->apache-beam[gcp]==2.16.0) (1.7.0)\n", "Building wheels for collected packages: hdfs, pyyaml, httplib2, oauth2client, crcmod, dill, avro-python3, google-apitools, docopt\n", " Building wheel for hdfs (setup.py) ... \u001b[?25ldone\n", "\u001b[?25h Created wheel for hdfs: filename=hdfs-2.5.8-cp35-none-any.whl size=34732 sha256=a8448b854ff8d67f4f1abe0fc4b5717fab60b134da2cd08fb50c8619e918898b\n", " Stored in directory: /home/jupyter/.cache/pip/wheels/fe/a7/05/23e3699975fc20f8a30e00ac1e515ab8c61168e982abe4ce70\n", " Building wheel for pyyaml (setup.py) ... \u001b[?25ldone\n", "\u001b[?25h Created wheel for pyyaml: filename=PyYAML-3.13-cp35-cp35m-linux_x86_64.whl size=43458 sha256=b37180f052fa3672e7e272c7b1aedc3a67ef22a5a353ed0499ef885a0b585d9d\n", " Stored in directory: /home/jupyter/.cache/pip/wheels/ad/da/0c/74eb680767247273e2cf2723482cb9c924fe70af57c334513f\n", " Building wheel for httplib2 (setup.py) ... \u001b[?25ldone\n", "\u001b[?25h Created wheel for httplib2: filename=httplib2-0.12.0-cp35-none-any.whl size=95120 sha256=e52a79c0587dd9e96bf548abfc9d9b00473d1c03d358fa48f9eae7a919b3de5c\n", " Stored in directory: /home/jupyter/.cache/pip/wheels/6d/41/4b/2b369d6e2b7eaebcdd423516d3fb659c7658c16a2be8fd04ec\n", " Building wheel for oauth2client (setup.py) ... \u001b[?25ldone\n", "\u001b[?25h Created wheel for oauth2client: filename=oauth2client-3.0.0-cp35-none-any.whl size=107377 sha256=bc805ed0b69d85d30d6528c26267b3729ede195b7a634fec54f1e9a74c84de64\n", " Stored in directory: /home/jupyter/.cache/pip/wheels/48/f7/87/b932f09c6335dbcf45d916937105a372ab14f353a9ca431d7d\n", " Building wheel for crcmod (setup.py) ... \u001b[?25ldone\n", "\u001b[?25h Created wheel for crcmod: filename=crcmod-1.7-cp35-cp35m-linux_x86_64.whl size=37319 sha256=63377b1212868211802340731e4a89af6034028cbf348fb269651061ec048384\n", " Stored in directory: /home/jupyter/.cache/pip/wheels/50/24/4d/4580ca4a299f1ad6fd63443e6e584cb21e9a07988e4aa8daac\n", " Building wheel for dill (setup.py) ... \u001b[?25ldone\n", "\u001b[?25h Created wheel for dill: filename=dill-0.3.0-cp35-none-any.whl size=79806 sha256=bc4a5f86d4392ab0ca222f7c0160e9867d0071463952899570a27640a799a9a6\n", " Stored in directory: /home/jupyter/.cache/pip/wheels/c9/de/a4/a91eec4eea652104d8c81b633f32ead5eb57d1b294eab24167\n", " Building wheel for avro-python3 (setup.py) ... \u001b[?25ldone\n", "\u001b[?25h Created wheel for avro-python3: filename=avro_python3-1.9.1-cp35-none-any.whl size=44047 sha256=55a6db6d93eeca295cccf0c819089c883bd0cb2a74dd6d24661dc0bb4dfc17a1\n", " Stored in directory: /home/jupyter/.cache/pip/wheels/94/54/6f/a5df680fd3224aa45145686f3b1b02a878a90ea769fcf9daaf\n", " Building wheel for google-apitools (setup.py) ... \u001b[?25ldone\n", "\u001b[?25h Created wheel for google-apitools: filename=google_apitools-0.5.28-cp35-none-any.whl size=131644 sha256=f9613af74d18c94dedec1af138b83a7104a6ac7b6933d52f2ccae964e3922b28\n", " Stored in directory: /home/jupyter/.cache/pip/wheels/d6/c2/92/837e8a4d649a209dff85b38d7fbb576b4b480738be70865f29\n", " Building wheel for docopt (setup.py) ... \u001b[?25ldone\n", "\u001b[?25h Created wheel for docopt: filename=docopt-0.6.2-py2.py3-none-any.whl size=19851 sha256=2a769e99810177d6f22f43c010e7e3b689577d7adf8f4cc575038a7b8405be69\n", " Stored in directory: /home/jupyter/.cache/pip/wheels/9b/04/dd/7daf4150b6d9b12949298737de9431a324d4b797ffd63f526e\n", "Successfully built hdfs pyyaml httplib2 oauth2client crcmod dill avro-python3 google-apitools docopt\n", "\u001b[31mERROR: witwidget-gpu 1.5.0 requires tensorflow-gpu>=1.12.0, which is not installed.\u001b[0m\n", "\u001b[31mERROR: witwidget-gpu 1.5.0 requires tensorflow-serving-api-gpu>=1.12.0, which is not installed.\u001b[0m\n", "\u001b[31mERROR: witwidget-gpu 1.5.0 has requirement oauth2client>=4.1.3, but you'll have oauth2client 3.0.0 which is incompatible.\u001b[0m\n", "\u001b[31mERROR: fairing 0.5.3 has requirement oauth2client>=4.0.0, but you'll have oauth2client 3.0.0 which is incompatible.\u001b[0m\n", "Installing collected packages: docopt, hdfs, pyyaml, httplib2, oauth2client, pymongo, crcmod, dill, fastavro, pyarrow, pbr, mock, avro-python3, google-cloud-bigquery, monotonic, fasteners, google-apitools, google-cloud-pubsub, google-cloud-bigtable, google-cloud-datastore, apache-beam\n", "\u001b[33m WARNING: The scripts hdfscli and hdfscli-avro are installed in '/home/jupyter/.local/bin' which is not on PATH.\n", " Consider adding this directory to PATH or, if you prefer to suppress this warning, use --no-warn-script-location.\u001b[0m\n", "\u001b[33m WARNING: The script fastavro is installed in '/home/jupyter/.local/bin' which is not on PATH.\n", " Consider adding this directory to PATH or, if you prefer to suppress this warning, use --no-warn-script-location.\u001b[0m\n", "\u001b[33m WARNING: The script plasma_store is installed in '/home/jupyter/.local/bin' which is not on PATH.\n", " Consider adding this directory to PATH or, if you prefer to suppress this warning, use --no-warn-script-location.\u001b[0m\n", "\u001b[33m WARNING: The script pbr is installed in '/home/jupyter/.local/bin' which is not on PATH.\n", " Consider adding this directory to PATH or, if you prefer to suppress this warning, use --no-warn-script-location.\u001b[0m\n", "\u001b[33m WARNING: The script gen_client is installed in '/home/jupyter/.local/bin' which is not on PATH.\n", " Consider adding this directory to PATH or, if you prefer to suppress this warning, use --no-warn-script-location.\u001b[0m\n", "Successfully installed apache-beam-2.16.0 avro-python3-1.9.1 crcmod-1.7 dill-0.3.0 docopt-0.6.2 fastavro-0.21.24 fasteners-0.15 google-apitools-0.5.28 google-cloud-bigquery-1.17.1 google-cloud-bigtable-1.0.0 google-cloud-datastore-1.7.4 google-cloud-pubsub-1.0.2 hdfs-2.5.8 httplib2-0.12.0 mock-2.0.0 monotonic-1.5 oauth2client-3.0.0 pbr-5.4.4 pyarrow-0.14.1 pymongo-3.10.0 pyyaml-3.13\n", "Collecting tensorflow-transform==0.15.0\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/34/88/9ee55045a1ffbf44fb75b10a30c54609f58987987f69ace9b971938e750d/tensorflow-transform-0.15.0.tar.gz (222kB)\n", "\u001b[K |████████████████████████████████| 225kB 4.9MB/s eta 0:00:01\n", "\u001b[?25hRequirement already satisfied: absl-py<0.9,>=0.7 in /usr/local/lib/python3.5/dist-packages (from tensorflow-transform==0.15.0) (0.8.1)\n", "Requirement already satisfied: apache-beam[gcp]<3,>=2.16 in /home/jupyter/.local/lib/python3.5/site-packages (from tensorflow-transform==0.15.0) (2.16.0)\n", "Requirement already satisfied: numpy<2,>=1.16 in /usr/local/lib/python3.5/dist-packages (from tensorflow-transform==0.15.0) (1.17.4)\n", "Requirement already satisfied: protobuf<4,>=3.7 in /usr/local/lib/python3.5/dist-packages (from tensorflow-transform==0.15.0) (3.10.0)\n", "Requirement already satisfied: pydot<2,>=1.2 in /usr/local/lib/python3.5/dist-packages (from tensorflow-transform==0.15.0) (1.4.1)\n", "Requirement already satisfied: six<2,>=1.10 in /usr/local/lib/python3.5/dist-packages (from tensorflow-transform==0.15.0) (1.13.0)\n", "Requirement already satisfied: tensorflow-metadata<0.16,>=0.15 in /usr/local/lib/python3.5/dist-packages (from tensorflow-transform==0.15.0) (0.15.1)\n", "Requirement already satisfied: tensorflow<2.2,>=1.15 in /usr/local/lib/python3.5/dist-packages (from tensorflow-transform==0.15.0) (2.0.0)\n", "Collecting tfx-bsl<0.16,>=0.15\n", "\u001b[?25l Downloading https://files.pythonhosted.org/packages/3b/0c/56d8e5b36532f33d631afb665f85181299d672e332ae309db49ea2023405/tfx_bsl-0.15.3-cp35-cp35m-manylinux2010_x86_64.whl (1.9MB)\n", "\u001b[K |████████████████████████████████| 1.9MB 48.0MB/s eta 0:00:01\n", "\u001b[?25hRequirement already satisfied: pymongo<4.0.0,>=3.8.0 in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (3.10.0)\n", "Requirement already satisfied: pyarrow<0.15.0,>=0.11.1; python_version >= \"3.0\" or platform_system != \"Windows\" in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (0.14.1)\n", "Requirement already satisfied: dill<0.3.1,>=0.3.0 in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (0.3.0)\n", "Requirement already satisfied: avro-python3<2.0.0,>=1.8.1; python_version >= \"3.0\" in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (1.9.1)\n", "Requirement already satisfied: httplib2<=0.12.0,>=0.8 in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (0.12.0)\n", "Requirement already satisfied: future<1.0.0,>=0.16.0 in /usr/local/lib/python3.5/dist-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (0.18.2)\n", "Requirement already satisfied: grpcio<2,>=1.12.1 in /usr/local/lib/python3.5/dist-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (1.25.0)\n", "Requirement already satisfied: python-dateutil<3,>=2.8.0 in /usr/local/lib/python3.5/dist-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (2.8.1)\n", "Requirement already satisfied: fastavro<0.22,>=0.21.4 in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (0.21.24)\n", "Requirement already satisfied: pytz>=2018.3 in /usr/local/lib/python3.5/dist-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (2019.3)\n", "Requirement already satisfied: hdfs<3.0.0,>=2.1.0 in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (2.5.8)\n", "Requirement already satisfied: crcmod<2.0,>=1.7 in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (1.7)\n", "Requirement already satisfied: pyyaml<4.0.0,>=3.12 in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (3.13)\n", "Requirement already satisfied: oauth2client<4,>=2.0.1 in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (3.0.0)\n", "Requirement already satisfied: mock<3.0.0,>=1.0.1 in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (2.0.0)\n", "Requirement already satisfied: google-cloud-bigquery<1.18.0,>=1.6.0; extra == \"gcp\" in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (1.17.1)\n", "Requirement already satisfied: google-cloud-core<2,>=0.28.1; extra == \"gcp\" in /usr/local/lib/python3.5/dist-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (1.0.3)\n", "Requirement already satisfied: google-cloud-bigtable<1.1.0,>=0.31.1; extra == \"gcp\" in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (1.0.0)\n", "Requirement already satisfied: google-cloud-datastore<1.8.0,>=1.7.1; extra == \"gcp\" in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (1.7.4)\n", "Requirement already satisfied: google-cloud-pubsub<1.1.0,>=0.39.0; extra == \"gcp\" in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (1.0.2)\n", "Requirement already satisfied: cachetools<4,>=3.1.0; extra == \"gcp\" in /usr/local/lib/python3.5/dist-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (3.1.1)\n", "Requirement already satisfied: google-apitools<0.5.29,>=0.5.28; extra == \"gcp\" in /home/jupyter/.local/lib/python3.5/site-packages (from apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (0.5.28)\n", "Requirement already satisfied: setuptools in /usr/local/lib/python3.5/dist-packages (from protobuf<4,>=3.7->tensorflow-transform==0.15.0) (41.6.0)\n", "Requirement already satisfied: pyparsing>=2.1.4 in /usr/local/lib/python3.5/dist-packages (from pydot<2,>=1.2->tensorflow-transform==0.15.0) (2.4.5)\n", "Requirement already satisfied: googleapis-common-protos in /usr/local/lib/python3.5/dist-packages (from tensorflow-metadata<0.16,>=0.15->tensorflow-transform==0.15.0) (1.6.0)\n", "Requirement already satisfied: astor>=0.6.0 in /usr/local/lib/python3.5/dist-packages (from tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (0.8.0)\n", "Requirement already satisfied: tensorboard<2.1.0,>=2.0.0 in /usr/local/lib/python3.5/dist-packages (from tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (2.0.1)\n", "Requirement already satisfied: tensorflow-estimator<2.1.0,>=2.0.0 in /usr/local/lib/python3.5/dist-packages (from tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (2.0.0)\n", "Requirement already satisfied: opt-einsum>=2.3.2 in /usr/local/lib/python3.5/dist-packages (from tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (3.1.0)\n", "Requirement already satisfied: google-pasta>=0.1.6 in /usr/local/lib/python3.5/dist-packages (from tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (0.1.8)\n", "Requirement already satisfied: wheel>=0.26 in /usr/lib/python3/dist-packages (from tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (0.29.0)\n", "Requirement already satisfied: keras-preprocessing>=1.0.5 in /usr/local/lib/python3.5/dist-packages (from tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (1.1.0)\n", "Requirement already satisfied: gast==0.2.2 in /usr/local/lib/python3.5/dist-packages (from tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (0.2.2)\n", "Requirement already satisfied: termcolor>=1.1.0 in /usr/local/lib/python3.5/dist-packages (from tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (1.1.0)\n", "Requirement already satisfied: wrapt>=1.11.1 in /usr/local/lib/python3.5/dist-packages (from tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (1.11.2)\n", "Requirement already satisfied: keras-applications>=1.0.8 in /usr/local/lib/python3.5/dist-packages (from tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (1.0.8)\n", "Collecting tensorflow-serving-api<3,>=1.15\n", " Downloading https://files.pythonhosted.org/packages/7b/91/2abebef1df17ee6d13aecf32e0f258dfcf62437ab989957b2a62d24f8630/tensorflow_serving_api-2.0.0-py2.py3-none-any.whl\n", "Requirement already satisfied: psutil<6,>=5.6 in /usr/local/lib/python3.5/dist-packages (from tfx-bsl<0.16,>=0.15->tensorflow-transform==0.15.0) (5.6.5)\n", "Requirement already satisfied: requests>=2.7.0 in /usr/local/lib/python3.5/dist-packages (from hdfs<3.0.0,>=2.1.0->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (2.22.0)\n", "Requirement already satisfied: docopt in /home/jupyter/.local/lib/python3.5/site-packages (from hdfs<3.0.0,>=2.1.0->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (0.6.2)\n", "Requirement already satisfied: rsa>=3.1.4 in /usr/local/lib/python3.5/dist-packages (from oauth2client<4,>=2.0.1->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (4.0)\n", "Requirement already satisfied: pyasn1>=0.1.7 in /usr/local/lib/python3.5/dist-packages (from oauth2client<4,>=2.0.1->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (0.4.7)\n", "Requirement already satisfied: pyasn1-modules>=0.0.5 in /usr/local/lib/python3.5/dist-packages (from oauth2client<4,>=2.0.1->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (0.2.7)\n", "Requirement already satisfied: pbr>=0.11 in /home/jupyter/.local/lib/python3.5/site-packages (from mock<3.0.0,>=1.0.1->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (5.4.4)\n", "Requirement already satisfied: google-resumable-media<0.5.0dev,>=0.3.1 in /usr/local/lib/python3.5/dist-packages (from google-cloud-bigquery<1.18.0,>=1.6.0; extra == \"gcp\"->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (0.4.1)\n", "Requirement already satisfied: google-api-core<2.0.0dev,>=1.14.0 in /usr/local/lib/python3.5/dist-packages (from google-cloud-core<2,>=0.28.1; extra == \"gcp\"->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (1.14.3)\n", "Requirement already satisfied: grpc-google-iam-v1<0.13dev,>=0.12.3 in /usr/local/lib/python3.5/dist-packages (from google-cloud-bigtable<1.1.0,>=0.31.1; extra == \"gcp\"->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (0.12.3)\n", "Requirement already satisfied: fasteners>=0.14 in /home/jupyter/.local/lib/python3.5/site-packages (from google-apitools<0.5.29,>=0.5.28; extra == \"gcp\"->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (0.15)\n", "Requirement already satisfied: google-auth-oauthlib<0.5,>=0.4.1 in /usr/local/lib/python3.5/dist-packages (from tensorboard<2.1.0,>=2.0.0->tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (0.4.1)\n", "Requirement already satisfied: google-auth<2,>=1.6.3 in /usr/local/lib/python3.5/dist-packages (from tensorboard<2.1.0,>=2.0.0->tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (1.7.0)\n", "Requirement already satisfied: markdown>=2.6.8 in /usr/local/lib/python3.5/dist-packages (from tensorboard<2.1.0,>=2.0.0->tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (3.1.1)\n", "Requirement already satisfied: werkzeug>=0.11.15 in /usr/local/lib/python3.5/dist-packages (from tensorboard<2.1.0,>=2.0.0->tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (0.16.0)\n", "Requirement already satisfied: h5py in /usr/local/lib/python3.5/dist-packages (from keras-applications>=1.0.8->tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (2.10.0)\n", "Requirement already satisfied: idna<2.9,>=2.5 in /usr/local/lib/python3.5/dist-packages (from requests>=2.7.0->hdfs<3.0.0,>=2.1.0->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (2.8)\n", "Requirement already satisfied: chardet<3.1.0,>=3.0.2 in /usr/local/lib/python3.5/dist-packages (from requests>=2.7.0->hdfs<3.0.0,>=2.1.0->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (3.0.4)\n", "Requirement already satisfied: urllib3!=1.25.0,!=1.25.1,<1.26,>=1.21.1 in /usr/local/lib/python3.5/dist-packages (from requests>=2.7.0->hdfs<3.0.0,>=2.1.0->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (1.24.2)\n", "Requirement already satisfied: certifi>=2017.4.17 in /usr/local/lib/python3.5/dist-packages (from requests>=2.7.0->hdfs<3.0.0,>=2.1.0->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (2019.9.11)\n", "Requirement already satisfied: monotonic>=0.1 in /home/jupyter/.local/lib/python3.5/site-packages (from fasteners>=0.14->google-apitools<0.5.29,>=0.5.28; extra == \"gcp\"->apache-beam[gcp]<3,>=2.16->tensorflow-transform==0.15.0) (1.5)\n", "Requirement already satisfied: requests-oauthlib>=0.7.0 in /usr/local/lib/python3.5/dist-packages (from google-auth-oauthlib<0.5,>=0.4.1->tensorboard<2.1.0,>=2.0.0->tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (1.3.0)\n", "Requirement already satisfied: oauthlib>=3.0.0 in /usr/local/lib/python3.5/dist-packages (from requests-oauthlib>=0.7.0->google-auth-oauthlib<0.5,>=0.4.1->tensorboard<2.1.0,>=2.0.0->tensorflow<2.2,>=1.15->tensorflow-transform==0.15.0) (3.1.0)\n", "Building wheels for collected packages: tensorflow-transform\n", " Building wheel for tensorflow-transform (setup.py) ... \u001b[?25ldone\n", "\u001b[?25h Created wheel for tensorflow-transform: filename=tensorflow_transform-0.15.0-cp35-none-any.whl size=283295 sha256=470487a0e0d5f37d8aa99c0d96b9ac7842d0afd0fd1c4a834105a867d47b9181\n", " Stored in directory: /home/jupyter/.cache/pip/wheels/18/62/08/7b4aee4bd80bd969f9c9c653556b0c8732c9c1fbff18a2b26d\n", "Successfully built tensorflow-transform\n", "Installing collected packages: tensorflow-serving-api, tfx-bsl, tensorflow-transform\n", "Successfully installed tensorflow-serving-api-2.0.0 tensorflow-transform-0.15.0 tfx-bsl-0.15.3\n" ] } ], "source": [ "!pip install --user apache-beam[gcp]==2.16.0\n", "!pip install --user tensorflow-transform==0.15.0" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**NOTE**: You may ignore specific incompatibility errors and warnings. These components and issues do not impact your ability to complete the lab.\n", "Download .whl file for tensorflow-transform. We will pass this file to Beam Pipeline Options so it is installed on the DataFlow workers " ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Collecting tensorflow-transform==0.15.0\n", " Using cached https://files.pythonhosted.org/packages/34/88/9ee55045a1ffbf44fb75b10a30c54609f58987987f69ace9b971938e750d/tensorflow-transform-0.15.0.tar.gz\n", " Saved ./tensorflow-transform-0.15.0.tar.gz\n", "Successfully downloaded tensorflow-transform\n" ] } ], "source": [ "!pip download tensorflow-transform==0.15.0 --no-deps" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<b>Restart the kernel</b> (click on the reload button above)." ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "apache-beam==2.16.0\n", "tensorflow==2.0.0\n", "tensorflow-datasets==1.3.0\n", "tensorflow-estimator==2.0.0\n", "tensorflow-hub==0.7.0\n", "tensorflow-io==0.9.0\n", "tensorflow-metadata==0.15.1\n", "tensorflow-serving-api==2.0.0\n", "tensorflow-transform==0.15.0\n" ] } ], "source": [ "%%bash\n", "pip freeze | grep -e 'flow\\|beam'" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "2.0.0\n" ] } ], "source": [ "import shutil\n", "\n", "import tensorflow as tf\n", "import tensorflow_transform as tft\n", "\n", "print(tf.__version__)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "import os\n", "\n", "PROJECT = !gcloud config get-value project\n", "PROJECT = PROJECT[0]\n", "BUCKET = PROJECT\n", "REGION = \"us-central1\"\n", "\n", "os.environ[\"PROJECT\"] = PROJECT\n", "os.environ[\"BUCKET\"] = BUCKET\n", "os.environ[\"REGION\"] = REGION" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Updated property [core/project].\n", "Updated property [compute/region].\n" ] } ], "source": [ "%%bash\n", "gcloud config set project $PROJECT\n", "gcloud config set compute/region $REGION" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [], "source": [ "%%bash\n", "if ! gsutil ls | grep -q gs://${BUCKET}/; then\n", " gsutil mb -l ${REGION} gs://${BUCKET}\n", "fi" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Input source: BigQuery\n", "\n", "Get data from BigQuery but defer the majority of filtering etc. to Beam.\n", "Note that the dayofweek column is now strings." ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [], "source": [ "from google.cloud import bigquery\n", "\n", "\n", "def create_query(phase, EVERY_N):\n", " \"\"\"Creates a query with the proper splits.\n", "\n", " Args:\n", " phase: int, 1=train, 2=valid.\n", " EVERY_N: int, take an example EVERY_N rows.\n", "\n", " Returns:\n", " Query string with the proper splits.\n", " \"\"\"\n", " base_query = \"\"\"\n", " WITH daynames AS\n", " (SELECT ['Sun', 'Mon', 'Tues', 'Wed', 'Thurs', 'Fri', 'Sat'] AS daysofweek)\n", " SELECT\n", " (tolls_amount + fare_amount) AS fare_amount,\n", " daysofweek[ORDINAL(EXTRACT(DAYOFWEEK FROM pickup_datetime))] AS dayofweek,\n", " EXTRACT(HOUR FROM pickup_datetime) AS hourofday,\n", " pickup_longitude AS pickuplon,\n", " pickup_latitude AS pickuplat,\n", " dropoff_longitude AS dropofflon,\n", " dropoff_latitude AS dropofflat,\n", " passenger_count AS passengers,\n", " 'notneeded' AS key\n", " FROM\n", " `nyc-tlc.yellow.trips`, daynames\n", " WHERE\n", " trip_distance > 0 AND fare_amount > 0\n", " \"\"\"\n", " if EVERY_N is None:\n", " if phase < 2:\n", " # training\n", " query = \"\"\"{} AND ABS(MOD(FARM_FINGERPRINT(CAST\n", " (pickup_datetime AS STRING), 4)) < 2\"\"\".format(\n", " base_query\n", " )\n", " else:\n", " query = \"\"\"{} AND ABS(MOD(FARM_FINGERPRINT(CAST(\n", " pickup_datetime AS STRING), 4)) = {}\"\"\".format(\n", " base_query, phase\n", " )\n", " else:\n", " query = \"\"\"{} AND ABS(MOD(FARM_FINGERPRINT(CAST(\n", " pickup_datetime AS STRING)), {})) = {}\"\"\".format(\n", " base_query, EVERY_N, phase\n", " )\n", "\n", " return query\n", "\n", "\n", "query = create_query(2, 100000)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's pull this query down into a Pandas DataFrame and take a look at some of the statistics." ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>fare_amount</th>\n", " <th>dayofweek</th>\n", " <th>hourofday</th>\n", " <th>pickuplon</th>\n", " <th>pickuplat</th>\n", " <th>dropofflon</th>\n", " <th>dropofflat</th>\n", " <th>passengers</th>\n", " <th>key</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>4.5</td>\n", " <td>Sat</td>\n", " <td>0</td>\n", " <td>-74.000292</td>\n", " <td>40.728722</td>\n", " <td>-73.995235</td>\n", " <td>40.724961</td>\n", " <td>1</td>\n", " <td>notneeded</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>6.9</td>\n", " <td>Sun</td>\n", " <td>0</td>\n", " <td>-73.986003</td>\n", " <td>40.722688</td>\n", " <td>-74.004549</td>\n", " <td>40.718822</td>\n", " <td>1</td>\n", " <td>notneeded</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>16.5</td>\n", " <td>Sun</td>\n", " <td>0</td>\n", " <td>-74.002155</td>\n", " <td>40.740375</td>\n", " <td>-73.967537</td>\n", " <td>40.792845</td>\n", " <td>5</td>\n", " <td>notneeded</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>143.0</td>\n", " <td>Sun</td>\n", " <td>0</td>\n", " <td>-73.990255</td>\n", " <td>40.740407</td>\n", " <td>-74.350245</td>\n", " <td>40.663847</td>\n", " <td>1</td>\n", " <td>notneeded</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>19.0</td>\n", " <td>Sun</td>\n", " <td>0</td>\n", " <td>-73.977255</td>\n", " <td>40.754930</td>\n", " <td>-73.917570</td>\n", " <td>40.767272</td>\n", " <td>1</td>\n", " <td>notneeded</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " fare_amount dayofweek hourofday pickuplon pickuplat dropofflon \\\n", "0 4.5 Sat 0 -74.000292 40.728722 -73.995235 \n", "1 6.9 Sun 0 -73.986003 40.722688 -74.004549 \n", "2 16.5 Sun 0 -74.002155 40.740375 -73.967537 \n", "3 143.0 Sun 0 -73.990255 40.740407 -74.350245 \n", "4 19.0 Sun 0 -73.977255 40.754930 -73.917570 \n", "\n", " dropofflat passengers key \n", "0 40.724961 1 notneeded \n", "1 40.718822 1 notneeded \n", "2 40.792845 5 notneeded \n", "3 40.663847 1 notneeded \n", "4 40.767272 1 notneeded " ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>fare_amount</th>\n", " <th>hourofday</th>\n", " <th>pickuplon</th>\n", " <th>pickuplat</th>\n", " <th>dropofflon</th>\n", " <th>dropofflat</th>\n", " <th>passengers</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>11181.000000</td>\n", " <td>11181.000000</td>\n", " <td>11181.000000</td>\n", " <td>11181.000000</td>\n", " <td>11181.000000</td>\n", " <td>11181.000000</td>\n", " <td>11181.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>11.242599</td>\n", " <td>13.244075</td>\n", " <td>-72.576852</td>\n", " <td>39.973146</td>\n", " <td>-72.748974</td>\n", " <td>40.006091</td>\n", " <td>1.722118</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>9.447462</td>\n", " <td>6.548354</td>\n", " <td>10.133452</td>\n", " <td>5.777329</td>\n", " <td>12.981577</td>\n", " <td>5.664887</td>\n", " <td>1.351062</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>2.500000</td>\n", " <td>0.000000</td>\n", " <td>-78.133333</td>\n", " <td>-73.991278</td>\n", " <td>-751.400000</td>\n", " <td>-73.977970</td>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>6.000000</td>\n", " <td>9.000000</td>\n", " <td>-73.991849</td>\n", " <td>40.734954</td>\n", " <td>-73.991236</td>\n", " <td>40.734008</td>\n", " <td>1.000000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>8.500000</td>\n", " <td>14.000000</td>\n", " <td>-73.981824</td>\n", " <td>40.752640</td>\n", " <td>-73.980164</td>\n", " <td>40.753427</td>\n", " <td>1.000000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>12.500000</td>\n", " <td>19.000000</td>\n", " <td>-73.967418</td>\n", " <td>40.766700</td>\n", " <td>-73.964153</td>\n", " <td>40.767832</td>\n", " <td>2.000000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>143.000000</td>\n", " <td>23.000000</td>\n", " <td>40.806487</td>\n", " <td>41.366138</td>\n", " <td>40.785400</td>\n", " <td>41.366138</td>\n", " <td>6.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " fare_amount hourofday pickuplon pickuplat dropofflon \\\n", "count 11181.000000 11181.000000 11181.000000 11181.000000 11181.000000 \n", "mean 11.242599 13.244075 -72.576852 39.973146 -72.748974 \n", "std 9.447462 6.548354 10.133452 5.777329 12.981577 \n", "min 2.500000 0.000000 -78.133333 -73.991278 -751.400000 \n", "25% 6.000000 9.000000 -73.991849 40.734954 -73.991236 \n", "50% 8.500000 14.000000 -73.981824 40.752640 -73.980164 \n", "75% 12.500000 19.000000 -73.967418 40.766700 -73.964153 \n", "max 143.000000 23.000000 40.806487 41.366138 40.785400 \n", "\n", " dropofflat passengers \n", "count 11181.000000 11181.000000 \n", "mean 40.006091 1.722118 \n", "std 5.664887 1.351062 \n", "min -73.977970 0.000000 \n", "25% 40.734008 1.000000 \n", "50% 40.753427 1.000000 \n", "75% 40.767832 2.000000 \n", "max 41.366138 6.000000 " ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df_valid = bigquery.Client().query(query).to_dataframe()\n", "display(df_valid.head())\n", "df_valid.describe()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Create ML dataset using tf.transform and Dataflow\n", "\n", "Let's use Cloud Dataflow to read in the BigQuery data and write it out as TFRecord files. Along the way, let's use tf.transform to do scaling and transforming. Using tf.transform allows us to save the metadata to ensure that the appropriate transformations get carried out during prediction as well." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "`transformed_data` is type `pcollection`." ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Launching Dataflow job preprocess-taxi-features-191217-213506 ... hang on\n", "WARNING:tensorflow:From <ipython-input-9-609e78ab05aa>:124: ColumnSchema (from tensorflow_transform.tf_metadata.dataset_schema) is deprecated and will be removed in a future version.\n", "Instructions for updating:\n", "ColumnSchema is a deprecated, use from_feature_spec to create a `Schema`\n", "WARNING:tensorflow:From <ipython-input-9-609e78ab05aa>:141: Schema (from tensorflow_transform.tf_metadata.dataset_schema) is deprecated and will be removed in a future version.\n", "Instructions for updating:\n", "Schema is a deprecated, use schema_utils.schema_from_feature_spec to create a `Schema`\n", "WARNING:tensorflow:Tensorflow version (2.0.0) found. Note that Tensorflow Transform support for TF 2.0 is currently in beta, and features such as tf.function may not work as intended. \n", "WARNING:tensorflow:Tensorflow version (2.0.0) found. Note that Tensorflow Transform support for TF 2.0 is currently in beta, and features such as tf.function may not work as intended. \n", "{'fare_amount': <tf.Tensor 'inputs/inputs/F_fare_amount_copy:0' shape=(None,) dtype=float32>, 'dayofweek': <tf.Tensor 'inputs/inputs/dayofweek_copy:0' shape=(None,) dtype=string>, 'hourofday': <tf.Tensor 'inputs/inputs/hourofday_copy:0' shape=(None,) dtype=int64>, 'dropofflat': <tf.Tensor 'inputs/inputs/dropofflat_copy:0' shape=(None,) dtype=float32>, 'pickuplat': <tf.Tensor 'inputs/inputs/pickuplat_copy:0' shape=(None,) dtype=float32>, 'passengers': <tf.Tensor 'inputs/inputs/passengers_copy:0' shape=(None,) dtype=int64>, 'dropofflon': <tf.Tensor 'inputs/inputs/dropofflon_copy:0' shape=(None,) dtype=float32>, 'pickuplon': <tf.Tensor 'inputs/inputs/pickuplon_copy:0' shape=(None,) dtype=float32>, 'key': <tf.Tensor 'inputs/inputs/key_copy:0' shape=(None,) dtype=string>}\n", "WARNING:tensorflow:From <ipython-input-9-609e78ab05aa>:50: string_to_int (from tensorflow_transform.mappers) is deprecated and will be removed in a future version.\n", "Instructions for updating:\n", "Use `tft.compute_and_apply_vocabulary()` instead.\n", "WARNING:tensorflow:From /usr/local/lib/python3.5/dist-packages/tensorflow_core/python/saved_model/signature_def_utils_impl.py:201: build_tensor_info (from tensorflow.python.saved_model.utils_impl) is deprecated and will be removed in a future version.\n", "Instructions for updating:\n", "This function will only be available through the v1 compatibility library as tf.compat.v1.saved_model.utils.build_tensor_info or tf.compat.v1.saved_model.build_tensor_info.\n", "INFO:tensorflow:Assets added to graph.\n", "INFO:tensorflow:No assets to write.\n", "INFO:tensorflow:SavedModel written to: gs://cloud-example-labs/taxifare/preproc_tft/tmp/tftransform_tmp/6f94628a67354fca9c368e66a9c8c729/saved_model.pb\n", "INFO:tensorflow:Assets added to graph.\n", "INFO:tensorflow:No assets to write.\n", "INFO:tensorflow:SavedModel written to: gs://cloud-example-labs/taxifare/preproc_tft/tmp/tftransform_tmp/b466732559fb44308ef359bcfffea2ff/saved_model.pb\n", "WARNING:tensorflow:Tensorflow version (2.0.0) found. Note that Tensorflow Transform support for TF 2.0 is currently in beta, and features such as tf.function may not work as intended. \n", "WARNING:tensorflow:Tensorflow version (2.0.0) found. Note that Tensorflow Transform support for TF 2.0 is currently in beta, and features such as tf.function may not work as intended. \n" ] } ], "source": [ "import datetime\n", "\n", "import apache_beam as beam\n", "import tensorflow as tf\n", "import tensorflow_metadata as tfmd\n", "import tensorflow_transform as tft\n", "from tensorflow_transform.beam import impl as beam_impl\n", "\n", "\n", "def is_valid(inputs):\n", " \"\"\"Check to make sure the inputs are valid.\n", "\n", " Args:\n", " inputs: dict, dictionary of TableRow data from BigQuery.\n", "\n", " Returns:\n", " True if the inputs are valid and False if they are not.\n", " \"\"\"\n", " try:\n", " pickup_longitude = inputs[\"pickuplon\"]\n", " dropoff_longitude = inputs[\"dropofflon\"]\n", " pickup_latitude = inputs[\"pickuplat\"]\n", " dropoff_latitude = inputs[\"dropofflat\"]\n", " hourofday = inputs[\"hourofday\"]\n", " dayofweek = inputs[\"dayofweek\"]\n", " passenger_count = inputs[\"passengers\"]\n", " fare_amount = inputs[\"fare_amount\"]\n", " return (\n", " fare_amount >= 2.5\n", " and pickup_longitude > -78\n", " and pickup_longitude < -70\n", " and dropoff_longitude > -78\n", " and dropoff_longitude < -70\n", " and pickup_latitude > 37\n", " and pickup_latitude < 45\n", " and dropoff_latitude > 37\n", " and dropoff_latitude < 45\n", " and passenger_count > 0\n", " )\n", " except:\n", " return False\n", "\n", "\n", "def preprocess_tft(inputs):\n", " \"\"\"Preproccess the features and add engineered features with tf transform.\n", "\n", " Args:\n", " dict, dictionary of TableRow data from BigQuery.\n", "\n", " Returns:\n", " Dictionary of preprocessed data after scaling and feature engineering.\n", " \"\"\"\n", " import datetime\n", "\n", " print(inputs)\n", " result = {}\n", " result[\"fare_amount\"] = tf.identity(inputs[\"fare_amount\"])\n", "\n", " # build a vocabulary\n", " result[\"dayofweek\"] = tft.string_to_int(inputs[\"dayofweek\"])\n", " result[\"hourofday\"] = tf.identity(inputs[\"hourofday\"]) # pass through\n", "\n", " # scaling numeric values\n", " result[\"pickuplon\"] = tft.scale_to_0_1(inputs[\"pickuplon\"])\n", " result[\"pickuplat\"] = tft.scale_to_0_1(inputs[\"pickuplat\"])\n", " result[\"dropofflon\"] = tft.scale_to_0_1(inputs[\"dropofflon\"])\n", " result[\"dropofflat\"] = tft.scale_to_0_1(inputs[\"dropofflat\"])\n", " result[\"passengers\"] = tf.cast(inputs[\"passengers\"], tf.float32) # a cast\n", "\n", " # arbitrary TF func\n", " result[\"key\"] = tf.as_string(tf.ones_like(inputs[\"passengers\"]))\n", "\n", " # engineered features\n", " latdiff = inputs[\"pickuplat\"] - inputs[\"dropofflat\"]\n", " londiff = inputs[\"pickuplon\"] - inputs[\"dropofflon\"]\n", "\n", " # Scale our engineered features latdiff and londiff between 0 and 1\n", " result[\"latdiff\"] = tft.scale_to_0_1(latdiff)\n", " result[\"londiff\"] = tft.scale_to_0_1(londiff)\n", " dist = tf.sqrt(latdiff * latdiff + londiff * londiff)\n", " result[\"euclidean\"] = tft.scale_to_0_1(dist)\n", " return result\n", "\n", "\n", "def preprocess(in_test_mode):\n", " \"\"\"Sets up preprocess pipeline.\n", "\n", " Args:\n", " in_test_mode: bool, False to launch DataFlow job, True to run locally.\n", " \"\"\"\n", " import os\n", " import os.path\n", " import tempfile\n", "\n", " from apache_beam.io import tfrecordio\n", " from tensorflow_transform.beam import tft_beam_io\n", " from tensorflow_transform.beam.tft_beam_io import transform_fn_io\n", " from tensorflow_transform.coders import example_proto_coder\n", " from tensorflow_transform.tf_metadata import (\n", " dataset_metadata,\n", " dataset_schema,\n", " )\n", "\n", " job_name = \"preprocess-taxi-features\" + \"-\"\n", " job_name += datetime.datetime.now().strftime(\"%y%m%d-%H%M%S\")\n", " if in_test_mode:\n", " import shutil\n", "\n", " print(\"Launching local job ... hang on\")\n", " OUTPUT_DIR = \"./preproc_tft\"\n", " shutil.rmtree(OUTPUT_DIR, ignore_errors=True)\n", " EVERY_N = 100000\n", " else:\n", " print(f\"Launching Dataflow job {job_name} ... hang on\")\n", " OUTPUT_DIR = f\"gs://{BUCKET}/taxifare/preproc_tft/\"\n", " import subprocess\n", "\n", " subprocess.call(f\"gsutil rm -r {OUTPUT_DIR}\".split())\n", " EVERY_N = 10000\n", "\n", " options = {\n", " \"staging_location\": os.path.join(OUTPUT_DIR, \"tmp\", \"staging\"),\n", " \"temp_location\": os.path.join(OUTPUT_DIR, \"tmp\"),\n", " \"job_name\": job_name,\n", " \"project\": PROJECT,\n", " \"num_workers\": 1,\n", " \"max_num_workers\": 1,\n", " \"teardown_policy\": \"TEARDOWN_ALWAYS\",\n", " \"no_save_main_session\": True,\n", " \"direct_num_workers\": 1,\n", " \"extra_packages\": [\"tensorflow-transform-0.15.0.tar.gz\"],\n", " }\n", "\n", " opts = beam.pipeline.PipelineOptions(flags=[], **options)\n", " if in_test_mode:\n", " RUNNER = \"DirectRunner\"\n", " else:\n", " RUNNER = \"DataflowRunner\"\n", "\n", " # Set up raw data metadata\n", " raw_data_schema = {\n", " colname: dataset_schema.ColumnSchema(\n", " tf.string, [], dataset_schema.FixedColumnRepresentation()\n", " )\n", " for colname in \"dayofweek,key\".split(\",\")\n", " }\n", "\n", " raw_data_schema.update(\n", " {\n", " colname: dataset_schema.ColumnSchema(\n", " tf.float32, [], dataset_schema.FixedColumnRepresentation()\n", " )\n", " for colname in \"fare_amount,pickuplon,pickuplat,dropofflon,dropofflat\".split(\n", " \",\"\n", " )\n", " }\n", " )\n", "\n", " raw_data_schema.update(\n", " {\n", " colname: dataset_schema.ColumnSchema(\n", " tf.int64, [], dataset_schema.FixedColumnRepresentation()\n", " )\n", " for colname in \"hourofday,passengers\".split(\",\")\n", " }\n", " )\n", "\n", " raw_data_metadata = dataset_metadata.DatasetMetadata(\n", " dataset_schema.Schema(raw_data_schema)\n", " )\n", "\n", " # Run Beam\n", " with beam.Pipeline(RUNNER, options=opts) as p:\n", " with beam_impl.Context(temp_dir=os.path.join(OUTPUT_DIR, \"tmp\")):\n", " # Save the raw data metadata\n", " (\n", " raw_data_metadata\n", " | \"WriteInputMetadata\"\n", " >> tft_beam_io.WriteMetadata(\n", " os.path.join(OUTPUT_DIR, \"metadata/rawdata_metadata\"),\n", " pipeline=p,\n", " )\n", " )\n", "\n", " # Read training data from bigquery and filter rows\n", " raw_data = (\n", " p\n", " | \"train_read\"\n", " >> beam.io.Read(\n", " beam.io.BigQuerySource(\n", " query=create_query(1, EVERY_N), use_standard_sql=True\n", " )\n", " )\n", " | \"train_filter\" >> beam.Filter(is_valid)\n", " )\n", "\n", " raw_dataset = (raw_data, raw_data_metadata)\n", "\n", " # Analyze and transform training data\n", " (\n", " transformed_dataset,\n", " transform_fn,\n", " ) = raw_dataset | beam_impl.AnalyzeAndTransformDataset(\n", " preprocess_tft\n", " )\n", " transformed_data, transformed_metadata = transformed_dataset\n", "\n", " # Save transformed train data to disk in efficient tfrecord format\n", " transformed_data | \"WriteTrainData\" >> tfrecordio.WriteToTFRecord(\n", " os.path.join(OUTPUT_DIR, \"train\"),\n", " file_name_suffix=\".gz\",\n", " coder=example_proto_coder.ExampleProtoCoder(\n", " transformed_metadata.schema\n", " ),\n", " )\n", "\n", " # Read eval data from bigquery and filter rows\n", " raw_test_data = (\n", " p\n", " | \"eval_read\"\n", " >> beam.io.Read(\n", " beam.io.BigQuerySource(\n", " query=create_query(2, EVERY_N), use_standard_sql=True\n", " )\n", " )\n", " | \"eval_filter\" >> beam.Filter(is_valid)\n", " )\n", "\n", " raw_test_dataset = (raw_test_data, raw_data_metadata)\n", "\n", " # Transform eval data\n", " transformed_test_dataset = (\n", " raw_test_dataset,\n", " transform_fn,\n", " ) | beam_impl.TransformDataset()\n", " transformed_test_data, _ = transformed_test_dataset\n", "\n", " # Save transformed train data to disk in efficient tfrecord format\n", " (\n", " transformed_test_data\n", " | \"WriteTestData\"\n", " >> tfrecordio.WriteToTFRecord(\n", " os.path.join(OUTPUT_DIR, \"eval\"),\n", " file_name_suffix=\".gz\",\n", " coder=example_proto_coder.ExampleProtoCoder(\n", " transformed_metadata.schema\n", " ),\n", " )\n", " )\n", "\n", " # Save transformation function to disk for use at serving time\n", " (\n", " transform_fn\n", " | \"WriteTransformFn\"\n", " >> transform_fn_io.WriteTransformFn(\n", " os.path.join(OUTPUT_DIR, \"metadata\")\n", " )\n", " )\n", "\n", "\n", "# Change to True to run locally\n", "preprocess(in_test_mode=False)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This will take __10-15 minutes__. You cannot go on in this lab until your DataFlow job has succesfully completed. " ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "gs://cloud-example-labs/taxifare/preproc_tft/\n", "gs://cloud-example-labs/taxifare/preproc_tft/eval-00000-of-00001.gz\n", "gs://cloud-example-labs/taxifare/preproc_tft/train-00000-of-00004.gz\n", "gs://cloud-example-labs/taxifare/preproc_tft/train-00001-of-00004.gz\n", "gs://cloud-example-labs/taxifare/preproc_tft/train-00002-of-00004.gz\n", "gs://cloud-example-labs/taxifare/preproc_tft/train-00003-of-00004.gz\n", "gs://cloud-example-labs/taxifare/preproc_tft/metadata/\n", "gs://cloud-example-labs/taxifare/preproc_tft/tmp/\n" ] } ], "source": [ "%%bash\n", "# ls preproc_tft\n", "gsutil ls gs://${BUCKET}/taxifare/preproc_tft/" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Train off preprocessed data ##\n", "Now that we have our data ready and verified it is in the correct location we can train our taxifare model locally." ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "rm: cannot remove './taxi_trained': No such file or directory\n", "INFO:tensorflow:Using default config.\n", "INFO:tensorflow:Using config: {'_session_config': allow_soft_placement: true\n", "graph_options {\n", " rewrite_options {\n", " meta_optimizer_iterations: ONE\n", " }\n", "}\n", ", '_log_step_count_steps': 100, '_device_fn': None, '_service': None, '_model_dir': './taxi_trained', '_experimental_distribute': None, '_protocol': None, '_is_chief': True, '_task_id': 0, '_cluster_spec': <tensorflow.python.training.server_lib.ClusterSpec object at 0x7f15433f00f0>, '_task_type': 'worker', '_evaluation_master': '', '_master': '', '_keep_checkpoint_every_n_hours': 10000, '_tf_random_seed': None, '_keep_checkpoint_max': 5, '_save_checkpoints_secs': 600, '_save_checkpoints_steps': None, '_num_ps_replicas': 0, '_train_distribute': None, '_save_summary_steps': 100, '_eval_distribute': None, '_experimental_max_worker_delay_secs': None, '_num_worker_replicas': 1, '_global_id_in_cluster': 0, '_session_creation_timeout_secs': 7200}\n", "INFO:tensorflow:Not using Distribute Coordinator.\n", "INFO:tensorflow:Running training and evaluation locally (non-distributed).\n", "INFO:tensorflow:Start train and evaluate loop. The evaluate will happen after every checkpoint. Checkpoint frequency is determined based on RunConfig arguments: save_checkpoints_steps None or save_checkpoints_secs 600.\n", "WARNING:tensorflow:From /usr/local/lib/python3.5/dist-packages/tensorflow_core/python/ops/resource_variable_ops.py:1630: calling BaseResourceVariable.__init__ (from tensorflow.python.ops.resource_variable_ops) with constraint is deprecated and will be removed in a future version.\n", "Instructions for updating:\n", "If using Keras pass *_constraint arguments to layers.\n", "WARNING:tensorflow:From /usr/local/lib/python3.5/dist-packages/tensorflow_core/python/training/training_util.py:236: Variable.initialized_value (from tensorflow.python.ops.variables) is deprecated and will be removed in a future version.\n", "Instructions for updating:\n", "Use Variable.read_value. Variables in 2.X are initialized automatically both in eager and graph (inside tf.defun) contexts.\n", "INFO:tensorflow:Calling model_fn.\n", "WARNING:tensorflow:From /usr/local/lib/python3.5/dist-packages/tensorflow_estimator/python/estimator/head/regression_head.py:156: to_float (from tensorflow.python.ops.math_ops) is deprecated and will be removed in a future version.\n", "Instructions for updating:\n", "Use `tf.cast` instead.\n", "WARNING:tensorflow:From /usr/local/lib/python3.5/dist-packages/tensorflow_core/python/keras/optimizer_v2/adagrad.py:108: calling Constant.__init__ (from tensorflow.python.ops.init_ops) with dtype is deprecated and will be removed in a future version.\n", "Instructions for updating:\n", "Call initializer instance with the dtype argument instead of passing it to the constructor\n", "INFO:tensorflow:Done calling model_fn.\n", "INFO:tensorflow:Create CheckpointSaverHook.\n", "INFO:tensorflow:Graph was finalized.\n", "2019-12-17 21:48:33.822785: I tensorflow/core/platform/cpu_feature_guard.cc:145] This TensorFlow binary is optimized with Intel(R) MKL-DNN to use the following CPU instructions in performance critical operations: AVX2 FMA\n", "To enable them in non-MKL-DNN operations, rebuild TensorFlow with the appropriate compiler flags.\n", "2019-12-17 21:48:33.831904: I tensorflow/core/platform/profile_utils/cpu_utils.cc:94] CPU Frequency: 2200000000 Hz\n", "2019-12-17 21:48:33.832385: I tensorflow/compiler/xla/service/service.cc:168] XLA service 0x56544440cd00 executing computations on platform Host. Devices:\n", "2019-12-17 21:48:33.832423: I tensorflow/compiler/xla/service/service.cc:175] StreamExecutor device (0): Host, Default Version\n", "2019-12-17 21:48:33.832940: I tensorflow/core/common_runtime/process_util.cc:115] Creating new thread pool with default inter op setting: 2. Tune using inter_op_parallelism_threads for best performance.\n", "INFO:tensorflow:Running local_init_op.\n", "INFO:tensorflow:Done running local_init_op.\n", "INFO:tensorflow:Saving checkpoints for 0 into ./taxi_trained/model.ckpt.\n", "INFO:tensorflow:loss = 106.78464, step = 0\n", "INFO:tensorflow:global_step/sec: 111.062\n", "INFO:tensorflow:loss = 3.4875064, step = 100 (0.900 sec)\n", "INFO:tensorflow:global_step/sec: 209.705\n", "INFO:tensorflow:loss = 55.23517, step = 200 (0.477 sec)\n", "INFO:tensorflow:Saving checkpoints for 300 into ./taxi_trained/model.ckpt.\n", "INFO:tensorflow:Calling model_fn.\n", "INFO:tensorflow:Done calling model_fn.\n", "INFO:tensorflow:Starting evaluation at 2019-12-17T21:48:38Z\n", "INFO:tensorflow:Graph was finalized.\n", "INFO:tensorflow:Restoring parameters from ./taxi_trained/model.ckpt-300\n", "INFO:tensorflow:Running local_init_op.\n", "INFO:tensorflow:Done running local_init_op.\n", "INFO:tensorflow:Evaluation [5/50]\n", "INFO:tensorflow:Evaluation [10/50]\n", "INFO:tensorflow:Evaluation [15/50]\n", "INFO:tensorflow:Evaluation [20/50]\n", "INFO:tensorflow:Evaluation [25/50]\n", "INFO:tensorflow:Evaluation [30/50]\n", "INFO:tensorflow:Evaluation [35/50]\n", "INFO:tensorflow:Evaluation [40/50]\n", "INFO:tensorflow:Evaluation [45/50]\n", "INFO:tensorflow:Evaluation [50/50]\n", "INFO:tensorflow:Finished evaluation at 2019-12-17-21:48:39\n", "INFO:tensorflow:Saving dict for global step 300: average_loss = 7.591091, global_step = 300, label/mean = 5.318125, loss = 7.591091, prediction/mean = 2.9586215\n", "INFO:tensorflow:Saving 'checkpoint_path' summary for global step 300: ./taxi_trained/model.ckpt-300\n", "INFO:tensorflow:Calling model_fn.\n", "INFO:tensorflow:Done calling model_fn.\n", "WARNING:tensorflow:From /usr/local/lib/python3.5/dist-packages/tensorflow_core/python/saved_model/signature_def_utils_impl.py:201: build_tensor_info (from tensorflow.python.saved_model.utils_impl) is deprecated and will be removed in a future version.\n", "Instructions for updating:\n", "This function will only be available through the v1 compatibility library as tf.compat.v1.saved_model.utils.build_tensor_info or tf.compat.v1.saved_model.build_tensor_info.\n", "INFO:tensorflow:Signatures INCLUDED in export for Classify: None\n", "INFO:tensorflow:Signatures INCLUDED in export for Eval: None\n", "INFO:tensorflow:Signatures INCLUDED in export for Train: None\n", "INFO:tensorflow:Signatures INCLUDED in export for Regress: None\n", "INFO:tensorflow:Signatures INCLUDED in export for Predict: ['predict']\n", "INFO:tensorflow:Signatures EXCLUDED from export because they cannot be be served via TensorFlow Serving APIs:\n", "INFO:tensorflow:'regression' : Regression input must be a single string Tensor; got {'passengers': <tf.Tensor 'passengers:0' shape=(None,) dtype=float32>, 'pickuplon': <tf.Tensor 'pickuplon:0' shape=(None,) dtype=float32>, 'latdiff': <tf.Tensor 'sub_1:0' shape=(None,) dtype=float32>, 'hourofday': <tf.Tensor 'hourofday:0' shape=(None,) dtype=int64>, 'pickuplat': <tf.Tensor 'pickuplat:0' shape=(None,) dtype=float32>, 'dropofflon': <tf.Tensor 'dropofflon:0' shape=(None,) dtype=float32>, 'euclidean': <tf.Tensor 'Sqrt:0' shape=(None,) dtype=float32>, 'dayofweek': <tf.Tensor 'dayofweek:0' shape=(None,) dtype=int64>, 'dropofflat': <tf.Tensor 'dropofflat:0' shape=(None,) dtype=float32>, 'londiff': <tf.Tensor 'sub:0' shape=(None,) dtype=float32>}\n", "INFO:tensorflow:'serving_default' : Regression input must be a single string Tensor; got {'passengers': <tf.Tensor 'passengers:0' shape=(None,) dtype=float32>, 'pickuplon': <tf.Tensor 'pickuplon:0' shape=(None,) dtype=float32>, 'latdiff': <tf.Tensor 'sub_1:0' shape=(None,) dtype=float32>, 'hourofday': <tf.Tensor 'hourofday:0' shape=(None,) dtype=int64>, 'pickuplat': <tf.Tensor 'pickuplat:0' shape=(None,) dtype=float32>, 'dropofflon': <tf.Tensor 'dropofflon:0' shape=(None,) dtype=float32>, 'euclidean': <tf.Tensor 'Sqrt:0' shape=(None,) dtype=float32>, 'dayofweek': <tf.Tensor 'dayofweek:0' shape=(None,) dtype=int64>, 'dropofflat': <tf.Tensor 'dropofflat:0' shape=(None,) dtype=float32>, 'londiff': <tf.Tensor 'sub:0' shape=(None,) dtype=float32>}\n", "WARNING:tensorflow:Export includes no default signature!\n", "INFO:tensorflow:Restoring parameters from ./taxi_trained/model.ckpt-300\n", "INFO:tensorflow:Assets added to graph.\n", "INFO:tensorflow:No assets to write.\n", "INFO:tensorflow:SavedModel written to: ./taxi_trained/export/exporter/temp-b'1576619319'/saved_model.pb\n", "INFO:tensorflow:Loss for final step: 36.147404.\n" ] } ], "source": [ "%%bash\n", "rm -r ./taxi_trained\n", "export PYTHONPATH=${PYTHONPATH}:$PWD\n", "python3 -m tft_trainer.task \\\n", " --train_data_path=\"gs://${BUCKET}/taxifare/preproc_tft/train*\" \\\n", " --eval_data_path=\"gs://${BUCKET}/taxifare/preproc_tft/eval*\" \\\n", " --output_dir=./taxi_trained \\" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1576619319\n" ] } ], "source": [ "!ls $PWD/taxi_trained/export/exporter" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now let's create fake data in JSON format and use it to serve a prediction with gcloud ai-platform local predict" ] }, { "cell_type": "code", "execution_count": 19, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Overwriting /tmp/test.json\n" ] } ], "source": [ "%%writefile /tmp/test.json\n", "{\"dayofweek\":0, \"hourofday\":17, \"pickuplon\": -73.885262, \"pickuplat\": 40.773008, \"dropofflon\": -73.987232, \"dropofflat\": 40.732403, \"passengers\": 2.0}" ] }, { "cell_type": "code", "execution_count": 20, "metadata": {}, "outputs": [], "source": [ "%%bash\n", "sudo find \"/usr/lib/google-cloud-sdk/lib/googlecloudsdk/command_lib/ml_engine\" -name '*.pyc' -delete" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "PREDICTIONS\n", "[20.746084213256836]\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "If the signature defined in the model is not serving_default then you must specify it via --signature-name flag, otherwise the command may fail.\n", "WARNING: WARNING:tensorflow:From /usr/local/lib/python2.7/dist-packages/tensorflow_core/python/compat/v2_compat.py:65: disable_resource_variables (from tensorflow.python.ops.variable_scope) is deprecated and will be removed in a future version.\n", "Instructions for updating:\n", "non-resource variables are not supported in the long term\n", "2019-12-17 21:50:07.411300: I tensorflow/core/platform/cpu_feature_guard.cc:145] This TensorFlow binary is optimized with Intel(R) MKL-DNN to use the following CPU instructions in performance critical operations: AVX2 FMA\n", "To enable them in non-MKL-DNN operations, rebuild TensorFlow with the appropriate compiler flags.\n", "2019-12-17 21:50:07.419152: I tensorflow/core/platform/profile_utils/cpu_utils.cc:94] CPU Frequency: 2200000000 Hz\n", "2019-12-17 21:50:07.419442: I tensorflow/compiler/xla/service/service.cc:168] XLA service 0x560ff33e0950 executing computations on platform Host. Devices:\n", "2019-12-17 21:50:07.419471: I tensorflow/compiler/xla/service/service.cc:175] StreamExecutor device (0): Host, Default Version\n", "2019-12-17 21:50:07.419807: I tensorflow/core/common_runtime/process_util.cc:115] Creating new thread pool with default inter op setting: 2. Tune using inter_op_parallelism_threads for best performance.\n", "WARNING:tensorflow:From /usr/lib/google-cloud-sdk/lib/third_party/ml_sdk/cloud/ml/prediction/frameworks/tf_prediction_lib.py:230: load (from tensorflow.python.saved_model.loader_impl) is deprecated and will be removed in a future version.\n", "Instructions for updating:\n", "This function will only be available through the v1 compatibility library as tf.compat.v1.saved_model.loader.load or tf.compat.v1.saved_model.load. There will be a new function for importing SavedModels in Tensorflow 2.0.\n", "WARNING:tensorflow:From /usr/lib/google-cloud-sdk/lib/third_party/ml_sdk/cloud/ml/prediction/frameworks/tf_prediction_lib.py:230: load (from tensorflow.python.saved_model.loader_impl) is deprecated and will be removed in a future version.\n", "Instructions for updating:\n", "This function will only be available through the v1 compatibility library as tf.compat.v1.saved_model.loader.load or tf.compat.v1.saved_model.load. There will be a new function for importing SavedModels in Tensorflow 2.0.\n", "\n" ] } ], "source": [ "%%bash\n", "model_dir=$(ls $PWD/taxi_trained/export/exporter/)\n", "gcloud ai-platform local predict \\\n", " --model-dir=./taxi_trained/export/exporter/${model_dir} \\\n", " --json-instances=/tmp/test.json" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Copyright 2021 Google Inc. Licensed under the Apache License, Version 2.0 (the \"License\"); you may not use this file except in compliance with the License. You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an \"AS IS\" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License" ] } ], "metadata": { "environment": { "kernel": "python3", "name": "tf2-gpu.2-6.m86", "type": "gcloud", "uri": "gcr.io/deeplearning-platform-release/tf2-gpu.2-6:m86" }, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.12" } }, "nbformat": 4, "nbformat_minor": 4 }
apache-2.0
BoasWhip/Black
Notebook/M269 Unit 4 Notes -- Search.ipynb
1
36431
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# 4. Searching" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 4.1 Searching Lists" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Algorithm: Selection\n", "Finding the median of a collection of numbers is selection problem with $k=(n+1)/2$ if $n$ is odd (and, if $n$ is even, the median is the mean of the $k$th and $(k+1)$th smallest items, where $k=n/2$)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Initial Insight\n", "Choose a value from $S$, to be used as <b>pivotValue</b>. Then divide the list into two partitions, <b>leftPart</b> (containing the list items that are smaller than <b>pivotValue</b>) and <b>rightPart</b> (containing the list items that are greater than <b>pivotValue</b>).\n", "If the $k$th smallest item has been found, stop. Otherwise, select the partition that must contain the $k$th smallest item, and do the whole thing again with this partition." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Specification\n", "\n", "<table>\n", " <tr>\n", " <th>Name:</th>\n", " <td><b>Selection</b></td>\n", " </tr>\n", " <tr>\n", " <th>Inputs:</th>\n", " <td>A sequence of integers $S = \\{s_1, s_2, s_3, ..., s_n\\}$<br/>An integer $k$</td>\n", " </tr>\n", " <tr>\n", " <th>Outputs:</th>\n", " <td>An integer $x$</td>\n", " </tr>\n", " <tr>\n", " <th>Preconditions:</th>\n", " <td>Length of $S>0$ and $k>0$ and $k\\le n$</td>\n", " </tr>\n", " <tr>\n", " <th>Postcondition:</th>\n", " <td>$x$ is the $k$th smallest item in $S$</td>\n", " </tr>\n", "</table> " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Code" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Median: 11\n" ] } ], "source": [ "def quickSelect(k, aList):\n", "\n", " if len(aList) == 1: \n", " return aList[0] # Base case\n", " \n", " pivotValue = aList[0]\n", " leftPart = []\n", " rightPart = []\n", " \n", " for item in aList[1:]:\n", " if item < pivotValue: \n", " leftPart.append(item)\n", " else: \n", " rightPart.append(item)\n", " \n", " if len(leftPart) >= k: \n", " return quickSelect(k, leftPart)\n", " elif len(leftPart) == k - 1: \n", " return pivotValue\n", " else: \n", " return quickSelect(k - len(leftPart) -1, rightPart) \n", "\n", "\n", "\n", "print(\"Median:\", quickSelect(6, [2, 36, 5, 21, 8, 13, 11, 20, 4, 1]))" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Median: 11\n" ] } ], "source": [ "def quickSelect(k, aList):\n", "\n", " if len(aList) == 1: return aList[0]\n", " \n", " pivotValue = aList[0]\n", " leftPart = [x for x in aList[1:] if x < pivotValue]\n", " rightPart = [x for x in aList[1:] if not x < pivotValue]\n", "\n", " if len(leftPart) >= k: return quickSelect(k, leftPart)\n", " elif len(leftPart) == k - 1: return pivotValue\n", " else: return quickSelect(k - len(leftPart) -1, rightPart) \n", "\n", "\n", "\n", "print(\"Median:\", quickSelect(6, [2, 36, 5, 21, 8, 13, 11, 20, 4, 1]))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Remarks\n", "The crucial step (<i>cf.</i> <b>Quick Sort</b>) that determines whether we have best case or worst case performance is the choice of the pivot – if we are really lucky we will get a value that cuts down the list the algorithm needs to search very substantially at each step.<br/><br/>\n", "The algorithm is divide-and-conquer and each iteration makes the sub-problem substantially smaller. In <b>Quick Sort</b>, both partitions are sorted recursively and provided that the pivot, at each stage, divides the list up into equal parts, we achieve $O(n $log$ n)$ complexity.<br/><br/>\n", "However, in the <b>Selection</b> algorithm we know which partition to search, so we only deal with one of them on each recursive call and as a result it is even more efficient. Hence, it can be shown that its complexity is $O(n)$." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 4.2 Searching for patterns" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "It often happens that we need to search through a string of characters to find an occurrence (if there is one) of a given pattern, e.g. genetics and DNA searches, keyword searches." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Basic string search" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Algorithm: StringMatch\n", "We are representing the sequence to be searched simply as a string of characters, referred to as the search string $S$, a shorter sequence is the target string $T$ and we are trying to find where the first occurrence of $T$ is, if it is present in $S$." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Initial Insight\n", "Repeatedly shift $T$ one place along $S$ and then compare the characters of $T$ with those of $S$. Do this until a match of $T$ in $S$ is found, or the end of $S$ is reached." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Specification\n", "\n", "<table>\n", " <tr>\n", " <th>Name:</th>\n", " <td><b>StringMatch</b></td>\n", " </tr>\n", " <tr>\n", " <th>Inputs:</th>\n", " <td>A search string $S = (s_1, s_2, s_3, ..., s_n)$<br/>A target string $T = (t_1, t_2, t_3, ..., t_m)$</td>\n", " </tr>\n", " <tr>\n", " <th>Outputs:</th>\n", " <td>An integer $x$</td>\n", " </tr>\n", " <tr>\n", " <th>Preconditions:</th>\n", " <td>$m\\le n$, $m>0$ and $n>0$</td>\n", " </tr>\n", " <tr>\n", " <th>Postcondition:</th>\n", " <td>If there is an occurrence of $T$ in $S$, $x$ is the start position of the first occurrence of $T$ in $S$; otherwise $x = -1$</td>\n", " </tr>\n", "</table> " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Code" ] }, { "cell_type": "code", "execution_count": 85, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def basicStringSearch(searchString, target):\n", "\n", " searchIndex = 0\n", " \n", " lenT = len(target) \n", " lenS = len(searchString) \n", " \n", " while searchIndex + lenT <= lenS:\n", "\n", " targetIndex = 0\n", "\n", " while targetIndex < lenT and target[targetIndex] == searchString[ targetIndex + searchIndex]:\n", " targetIndex += 1\n", "\n", " if targetIndex == lenT:\n", " return searchIndex\n", "\n", " searchIndex += 1\n", "\n", " return -1" ] }, { "cell_type": "code", "execution_count": 86, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "True\n", "True\n", "True\n", "True\n" ] } ], "source": [ "# Test Code\n", "for target, index in [('per', 0), ('lta', 14), ('ad', 10), ('astra', -1)]:\n", " print(basicStringSearch('per ardua ad alta', target)==index)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Remarks\n", "It becomes immediately apparent when implement that this algorithm would consist of two nested loops leading to complexity $O(mn) > O(m^2)$.<br/><br/>\n", "We know that if the character in $S$ following the failed comparison with $T$ is not in $T$ then there is no need to slide along one place to do another comparison. We should slide to the next point beyond it. This gives us the basis for an improved algorithm." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Quick search" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Initial Insight\n", "For each character in $T$ calculate the number of positions to shift $T$ if a comparison fails, according to where (if at all) that character appears in $T$.<br/><br/>\n", "Repeatedly compare the characters of $T$ with those of $S$. If a comparison fails, examine the next character along in $S$ and shift $T$ by the calculated shift distance for that character.<br/><br/>\n", "Do this until an occurrence of $T$ in $S$ is found, or the end of $S$ is reached." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Remarks\n", "An important point to note first of all is that the part of the algorithm calculating the shifts depends entirely on an analysis of the target string $T$ – there is no need to examine the search string $S$ at all because for any character in $S$ that is not in $T$, the shift is a fixed distance.<br/><br/>\n", "The database is called a <b>shift table</b> and it stores a <b>shift distance</b> for each character in the domain of $S$ – e.g. for each character of the alphabet, or say, all upper and lower case plus punctuation.<br/><br/>\n", "The <b>shift distance</b> is calculated according to the following rules:\n", "<ol>\n", " <li>If the character does not appear in T, the shift distance is one more than the length of T.</li>\n", " <li>If the character does appear in T, the shift distance is the first position at which it appears, counting from right to left and starting at 1. (Hence when a character appears more than once in $T$ keeps the lowest position.)</li>\n", "</ol>\n", "\n", "Suppose $S = $'GGGGGAGGCGGCGGT'. Then for target string $T = $'TCCACC', we have:\n", "<table>\n", " <tr>\n", " <th>G</th>\n", " <th>A</th>\n", " <th>C</th>\n", " <th>T</th>\n", " </tr>\n", " <tr>\n", " <td>7</td>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>6</td>\n", " </tr>\n", "</table>\n", "and if $T = $'TGGCG', we have:\n", "<table>\n", " <tr>\n", " <th>G</th>\n", " <th>A</th>\n", " <th>C</th>\n", " <th>T</th>\n", " </tr>\n", " <tr>\n", " <td>1</td>\n", " <td>6</td>\n", " <td>2</td>\n", " <td>5</td>\n", " </tr>\n", "</table>\n", "\n", "<br/>\n", "Once the shift table has been computed, the search part of the quick search algorithm is similar to the basic string search algorithm, except that at the end of each failed attempt we look at the next character along in $S$ that is beyond $T$ and use this to look up in the shift table how many steps to slide $T$.<br/>\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We implement the <b>shift table</b> as a dictionary in Python:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Code" ] }, { "cell_type": "code", "execution_count": 87, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def buildShiftTable(target, alphabet):\n", "\n", " shiftTable = {}\n", "\n", " for character in alphabet:\n", " shiftTable[character] = len(target) + 1\n", "\n", " for i in range(len(target)):\n", " char = target[i]\n", " shift = len(target) - i\n", " shiftTable[char] = shift\n", "\n", " return shiftTable" ] }, { "cell_type": "code", "execution_count": 88, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def quickSearch (searchString, target, alphabet):\n", "\n", " shiftTable = buildShiftTable(target, alphabet)\n", " searchIndex = 0\n", "\n", " while searchIndex + len(target) <= len(searchString):\n", " \n", " targetIndex = 0\n", "\n", " # Compares the strings \n", " while targetIndex < len(target) and target[targetIndex] == searchString[searchIndex + targetIndex]:\n", " targetIndex = targetIndex + 1\n", "\n", " # Return index if target found\n", " if targetIndex == len(target): return searchIndex\n", "\n", " # Continue search with new shivt value or exit\n", " if searchIndex + len(target) < len(searchString):\n", " next = searchString[searchIndex + len(target)]\n", " shift = shiftTable[next]\n", " searchIndex = searchIndex + shift\n", " else:\n", " return -1\n", "\n", " return -1" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Tests" ] }, { "cell_type": "code", "execution_count": 89, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "15\n", "64\n", "-1\n" ] } ], "source": [ "theAlphabet = {'G', 'A', 'C', 'T'}\n", "stringToSearch = 'ATGAATACCCACCTTACAGAAACCTGGGAAAAGGCAATAAATATTATAAAAGGTGAACTTACAGAAGTAA'\n", "\n", "for thetarget in ['ACAG', 'AAGTAA', 'CCCC']:\n", " print(quickSearch(stringToSearch, thetarget, theAlphabet))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Remarks\n", "The basic brute-force algorithm we wrote first will work fine with relatively short search strings but, as with all algorithms, inputs of huge size may overwhelm it. For example, DNA strings can be billions of bases long, so algorithmic efficiency can be vital. We noted already that the complexity of the basic string search can be as bad as O(nm) in the worst case.<br/><br/>\n", "\n", "As for the quick search algorithm, research has shown that its average-case performance is good but, unfortunately, its worst case behaviour is still O(mn).<br/><br/>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Knuth–Morris–Pratt (KMP)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Better algorithms have been developed. One of the best-known efficient search algorithms is the <b>Knuth–Morris–Pratt (KMP)</b> algorithm. A full description of the precise details of the KMP algorithm is beyond the scope of this text." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Algorithm: Knuth–Morris–Pratt (KMP)\n", "The <b>KMP</b> algorithm is in two parts:\n", "\n", "<ol>\n", " <li>Build a table of the lengths of prefix matches up to every character in the target string, $T$.</li>\n", " <li>Move along the search string, $S$, using the information in the table to do the shifting and compare.</li>\n", "</ol>\n", "\n", "Once the prefix table has been built, the actual search in the second step proceeds like the other string-searching algorithms above, but when a mismatch is detected the algorithm uses the prefix table to decide how to shift $T$. The problem is to know if these prefix matches exist and – if they do – how long the matching substrings are.</br>\n", "\n", "The prefix will then be aligned as shown in Figure 4.17 and comparison can continue at the next character in S." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If you want to take the trouble, you can verify that the final table will be:" ] }, { "cell_type": "code", "execution_count": 100, "metadata": { "collapsed": false }, "outputs": [], "source": [ "prefixTable = [0, 1, 0, 0, 0, 1, 2, 3, 4, 0, 0, 0, 1, 2]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Code" ] }, { "cell_type": "code", "execution_count": 92, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Helper function for kmpSearch()\n", "\n", "def buildPrefixTable(target): \n", "\n", " #The first line of code just builds a list that has len(target)\n", " #items all of which are given the default value 0\n", "\n", " prefixTable = [0] * len(target)\n", " q = 0\n", "\n", " for p in range(1, len(target)):\n", "\n", " while q > 0 and target[q] != target[p]:\n", " q = prefixTable[q - 1]\n", "\n", " if target[q] == target[p]:\n", " q = q + 1\n", " \n", " prefixTable[p] = q\n", "\n", " return prefixTable" ] }, { "cell_type": "code", "execution_count": 93, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def kmpSearch(searchString, target):\n", "\n", " n = len(searchString)\n", " m = len(target)\n", " prefixTable = buildPrefixTable(target)\n", " q = 0\n", "\n", " for i in range(n):\n", "\n", " while q > 0 and target[q] != searchString[i]:\n", " q = prefixTable[q - 1]\n", "\n", " if target[q] == searchString[i]:\n", " q = q + 1\n", " \n", " if q == m:\n", " return i - m + 1\n", "\n", " return -1" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Tests" ] }, { "cell_type": "code", "execution_count": 94, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "15\n", "64\n", "-1\n" ] } ], "source": [ "stringToSearch = 'ATGAATACCCACCTTACAGAAACCTGGGAAAAGGCAATAAATATTATAAAAGGTGAACTTACAGAAGTAA'\n", "\n", "for thetarget in ['ACAG', 'AAGTAA', 'CCCC']:\n", " print(kmpSearch(stringToSearch, thetarget))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Remarks\n", "What about the complexity of the KMP algorithm? Computing the prefix table takes significant effort but in fact there is an efficient algorithm for doing it. Overall, the KMP algorithm has complexity $O(m + n)$. Since $n$ is usually enormously larger than $m$ (think of searching a DNA string of billions of bases), $m$ is usually dominated by $n$, so this means that KMP has effective complexity $O(n)$." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Other Algorithms" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "String search is an immensely important application in modern computing, and at least 30 efficient algorithms have been developed for the task. Many of these depend on the principle embodied in the quick search and KMP algorithms – shifting the target string an appropriate distance along the search string at each step, based on information in a table. The <b>Boyer–Moore</b> algorithm, for example, combines elements of both these two algorithms. This algorithm is widely used in practical applications.\n", "\n", "There are also string-search algorithms that work in entirely different ways from the examples we have looked at. Generally, these are beyond the scope of this text, but some are based on hashing functions, which we now move on to discuss next." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 4.3 Hashing and Hash Tables" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Hashing\n", "\n", "We have seen how we are able to make improvements in search algorithms by taking advantage of information about where items are stored in the collection with respect to one another. For example, by knowing that a list was ordered, we could search in logarithmic time using a binary search. In this section we will attempt to go one step further by building a data structure that can be searched in $O(1)$ time. This concept is referred to as <b>hashing</b>.\n", "\n", "In order to do this, we will need to know even more about where the items might be when we go to look for them in the collection. If every item is where it should be, then the search can use a single comparison to discover the presence of an item.\n", "\n", "A hash table is a collection of items which are stored in such a way as to make it easy to find them later. Each position of the hash table, often called a slot, can hold an item and is named by an integer value starting at 0.\n", "\n", "Below is a hash table of size $m=11$ implemented in Python as a list with empty slots intialized with a default <b>None</b> value:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<img src=\"http://interactivepython.org/courselib/static/pythonds/_images/hashtable.png\">" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The mapping between an item and the slot where that item belongs in the hash table is called the <b>hash function</b>. The hash function will take any item in the collection and return an integer in the range of slot names, between $0$ and $m-1$." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Our first hash function, sometimes referred to as the <b>remainder method</b>, simply takes an item and divides it by the table size, returning the remainder as its hash value:" ] }, { "cell_type": "code", "execution_count": 156, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[10, 4, 5, 6, 0, 9]" ] }, "execution_count": 156, "metadata": {}, "output_type": "execute_result" } ], "source": [ "set_of_integers = [54, 26, 93, 17, 77, 31]\n", "hash_function = lambda x: [y % 11 for y in x]\n", "hash_vals = hash_function(set_of_integers)\n", "hash_vals" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Once the hash values have been computed, we can insert each item into the hash table at the designated position:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<img src=\"http://interactivepython.org/courselib/static/pythonds/_images/hashtable2.png\">" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now when we want to search for an item, we simply use the hash function to compute the slot name for the item and then check the hash table to see if it is present. This searching operation is $O(1)$, since a constant amount of time is required to compute the hash value and then index the hash table at that location. If everything is where it should be, we have found a constant time search algorithm." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "It immediately becomes apparent that this technique is going to work only if each item maps to a unique location in the hash table. When two or more items would need to be in the same slot. This is referred to as a <b>collision</b> (it may also be called a “clash”). Clearly, collisions create a problem for the hashing technique. We will discuss them in detail later." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Hash Functions" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Given a collection of items, a hash function that maps each item into a unique slot is referred to as a <b>perfect hash function</b>." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If we know the items and the collection will never change, then it is possible to construct a perfect hash function (refer to the exercises for more about perfect hash functions). Unfortunately, given an arbitrary collection of items, there is no systematic way to construct a perfect hash function. Luckily, we do not need the hash function to be perfect to still gain performance efficiency.\n", "\n", "One way to always have a perfect hash function is to increase the size of the hash table so that each possible value in the item range can be accommodated. This guarantees that each item will have a unique slot. Although this is practical for small numbers of items, it is not feasible when the number of possible items is large. For example, if the items were nine-digit Social Security numbers, this method would require almost one billion slots. If we only want to store data for a class of 25 students, we will be wasting an enormous amount of memory.\n", "\n", "Our goal is to create a hash function that minimizes the number of collisions, is easy to compute, and evenly distributes the items in the hash table. There are a number of common ways to extend the simple remainder method. We will consider a few of them here." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The <b>folding method</b> for constructing hash functions begins by dividing the item into equal-size pieces (the last piece may not be of equal size). These pieces are then added together to give the resulting hash value.\n", "\n", "For example, if our item was the phone number $436-555-4601$, we would take the digits and divide them into groups of $2$ and sum them; that is $43+65+55+46+01=210$. If we assume our hash table has $11$ slots, then we need to perform the extra step of dividing by $11$ and keeping the remainder. In this case $210 % 11210 % 11 = 1$, so the phone number $436-555-4601$ hashes to slot $1$. (Some folding methods go one step further and reverse every other piece before the addition. For the above example, we get $43+56+55+64+01=219$ which gives $219 % 11=10219 % 11=10$.)" ] }, { "cell_type": "code", "execution_count": 176, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[43, 65, 55, 46, 1]\n", "210\n", "1\n" ] } ], "source": [ "word = 4365554601\n", "word = str(word)\n", "step = 2\n", "slots = 11\n", "folds = [int(word[n: n+2]) for n in range(0, len(word), step)]\n", "\n", "print(folds)\n", "print(sum(folds))\n", "print(sum(folds)%slots)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Another numerical technique for constructing a hash function is called the <b>mid-square method</b>. We first square the item, and then extract <i>some portion</i> of the resulting digits. For example, if the item were $44$, we would first compute $44^2=1,936$. By extracting the middle two digits, $93$, and performing the remainder step, we get remainder of $5$ on division by $11$." ] }, { "cell_type": "code", "execution_count": 144, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[3, 7, 9, 8, 4, 6]" ] }, "execution_count": 144, "metadata": {}, "output_type": "execute_result" } ], "source": [ "set_of_integers = [54, 26, 93, 17, 77, 31]\n", "hash_function = lambda x: [int(str(y**2)[1:-1])%11 for y in x]\n", "hash_vals = hash_function(set_of_integers)\n", "hash_vals" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can also create hash functions for character-based items such as strings. The word “cat” can be thought of as a sequence of ordinal values. Summing these (unicode values), summing and then taking the remainder from division by $11$:" ] }, { "cell_type": "code", "execution_count": 145, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "4" ] }, "execution_count": 145, "metadata": {}, "output_type": "execute_result" } ], "source": [ "word = 'cat'\n", "sum([ord(l) for l in word]) % 11" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "To avoid conflicts from anagram, we could weights:" ] }, { "cell_type": "code", "execution_count": 146, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "3" ] }, "execution_count": 146, "metadata": {}, "output_type": "execute_result" } ], "source": [ "sum([(ord(word[x]) * (x + 1)) for x in range(len(word))]) % 11" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "You may be able to think of a number of additional ways to compute hash values for items in a collection. The important thing to remember is that the hash function has to be efficient so that it does not become the dominant part of the storage and search process. If the hash function is too complex, then it becomes more work to compute the slot name than it would be to simply do a basic sequential or binary search as described earlier. This would quickly defeat the purpose of hashing." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Collision Resolution" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If the hash function is perfect, collisions never occur. However, since this is often not possible. When two items hash to the same slot, we must have a systematic method for placing the second item in the hash table. This process is called <b>collision resolution</b>." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "One method for resolving collisions looks into the hash table and tries to find another open slot to hold the item that caused the collision. A simple way to do this is to start at the original hash value position and then move in a sequential manner through the slots until we encounter the first slot that is empty.\n", "\n", "Note that we may need to go back to the first slot (circularly) to cover the entire hash table. This collision resolution process is referred to as <b>open addressing</b> in that it tries to find the next open slot or address in the hash table. By systematically visiting each slot one at a time, we are performing an open addressing technique called <b>linear probing</b>. Using the hash values from the remainder method example, when add $44$ and $55$ say:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<img src=\"http://interactivepython.org/courselib/static/pythonds/_images/clustering.png\">" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Once we have built a hash table using open addressing and linear probing, it is essential that we utilize the same methods to search for items. we are henced forced to do sequential search to find $44$ and $55$." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "So, a disadvantage to linear probing is the tendency for <b>clustering</b>; items become clustered in the table. This means that if many collisions occur at the same hash value, a number of surrounding slots will be filled by the linear probing resolution. This will have an impact on other items that are being inserted, as we saw when we tried to add the item 20 above. A cluster of values hashing to 0 had to be skipped to finally find an open position.\n", "\n", "One way to deal with clustering is to extend the linear probing technique so that instead of looking sequentially for the next open slot, we skip slots, thereby more evenly distributing the items that have caused collisions. This will potentially reduce the clustering that occurs, e.g. with a “plus 3” probe. This means that once a collision occurs, we will look at every third slot until we find one that is empty." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The general name for this process of looking for another slot after a collision is <b>rehashing</b>. With simple linear probing, in general, $rehash(pos)=(pos+skip)$%$sizeoftable$. It is important to note that the size of the “skip” must be such that all the slots in the table will eventually be visited. Otherwise, part of the table will be unused. To ensure this, it is often suggested that the table size be a prime number. This is the reason we have been using $11$ in our examples." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A variation of the linear probing idea is called <b>quadratic probing</b>. Instead of using a constant “skip” value, we use a rehash function that increments the hash value by 1, 3, 5, 7, 9, and so on. This means that if the first hash value is $h$, the successive values are $h+1$, $h+4$, $h+9$, $h+16$, and so on. In other words, quadratic probing uses a skip consisting of successive <i>perfect squares</i>:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<img src=\"http://interactivepython.org/courselib/static/pythonds/_images/linearprobing2.png\">" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "An alternative method for handling the collision problem is to allow each slot to hold a reference to a collection (or chain) of items. <b>Chaining</b> allows many items to exist at the same location in the hash table. When collisions happen, the item is still placed in the proper slot of the hash table. As more and more items hash to the same location, the difficulty of searching for the item in the collection increases:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<img src=\"http://interactivepython.org/courselib/static/pythonds/_images/chaining.png\">" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "When we want to search for an item, we use the hash function to generate the slot where it should reside. Since each slot holds a collection, we use a searching technique to decide whether the item is present. The advantage is that on the average there are likely to be many fewer items in each slot, so the search is perhaps more efficient." ] }, { "cell_type": "code", "execution_count": 155, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[123456, 431941, 789012, 60375]\n", "[21, 22, 19, 21]\n" ] } ], "source": [ "set_of_integers = [123456, 431941, 789012, 60375]\n", "print(set_of_integers)\n", "set_of_integers = [((int(str(x)[0:2]) + int(str(x)[2:4]) + int(str(x)[4:])) % 80) -1 for x in set_of_integers]\n", "print(set_of_integers)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [Root]", "language": "python", "name": "Python [Root]" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
CompPhysics/ComputationalPhysics2
doc/Projects/2019/Project2CC/ipynb/Project2CC.ipynb
1
23306
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- dom:TITLE: Project 2, Coupled cluster studies of electronic systems. Deadline May 31 -->\n", "# Project 2, Coupled cluster studies of electronic systems. Deadline May 31\n", "<!-- dom:AUTHOR: [Computational Physics II FYS4411/FYS9411](http://www.uio.no/studier/emner/matnat/fys/FYS4411/index-eng.html) at Department of Physics, University of Oslo, Norway -->\n", "<!-- Author: --> \n", "**[Computational Physics II FYS4411/FYS9411](http://www.uio.no/studier/emner/matnat/fys/FYS4411/index-eng.html)**, Department of Physics, University of Oslo, Norway\n", "\n", "Date: **Jan 16, 2019**\n", "\n", "Copyright 1999-2019, [Computational Physics II FYS4411/FYS9411](http://www.uio.no/studier/emner/matnat/fys/FYS4411/index-eng.html). Released under CC Attribution-NonCommercial 4.0 license\n", "\n", "\n", "\n", "## Introduction\n", "\n", "The aim of this project is to use the coupled cluster method\n", "method to evaluate \n", "the ground state energy of \n", "quantum dots with $N=2$, $N=6$, $N=12$ and $N=20$ electrons. These are so-called closed shell systems.\n", "\n", "\n", "## Theoretical background and description of the physical system\n", "\n", "We consider a system of electrons confined in a pure two-dimensional \n", "isotropic harmonic oscillator potential, with an idealized total Hamiltonian given by" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:finalH\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", "\\label{eq:finalH} \\tag{1}\n", "\\hat{H}=\\sum_{i=1}^{N} \\left( -\\frac{1}{2} \\nabla_i^2 + \\frac{1}{2} \\omega^2r_i^2 \\right)+\\sum_{i<j}\\frac{1}{r_{ij}},\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where natural units ($\\hbar=c=e=m_e=1$) are used and all energies are in so-called atomic units a.u. We will study systems of many electrons $N$ as functions of the oscillator frequency $\\omega$ using the above Hamiltonian. The Hamiltonian includes a standard harmonic oscillator part" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\hat{H}_0=\\sum_{i=1}^{N} \\left( -\\frac{1}{2} \\nabla_i^2 + \\frac{1}{2} \\omega^2r_i^2 \\right),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and the repulsive interaction between two electrons given by" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\hat{H}_1=\\sum_{i<j}\\frac{1}{r_{ij}},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "with the distance between electrons given by $r_{ij}=\\vert \\boldsymbol{r}_1-\\boldsymbol{r}_2\\vert$. We define the \n", "modulus of the positions of the electrons (for a given electron $i$) as $r_i = \\sqrt{r_{i_x}^2+r_{i_y}^2}$.\n", "\n", "The aim of this project is to develop a coupled cluster doubles (CCD) code, where $2p-2h$ excitations are included only.\n", "\n", "We will start with a two-electron problem and compare our results to those of Taut, see reference [1] below. \n", "\n", "The ansatz for the ground state is given by" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\vert \\Psi_0\\rangle = \\vert \\Psi_{CC}\\rangle = e^{\\hat{T}} \\vert\n", " \\Phi_0\\rangle = \\left( \\sum_{n=1}^{N} \\frac{1}{n!} \\hat{T}^n\n", " \\right) \\vert \\Phi_0\\rangle,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where $N$ represents the maximum number of particle-hole excitations\n", " and $\\hat{T}$ is the cluster operator defined as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\begin{align*}\n", " \\hat{T} &= \\hat{T}_1 + \\hat{T}_2 + \\ldots + \\hat{T}_N\n", " \\\\ \\hat{T}_n &= \\left(\\frac{1}{n!}\\right)^2\n", " \\sum_{\\substack{ i_1,i_2,\\ldots i_n \\\\ a_1,a_2,\\ldots\n", " a_n}} t_{i_1i_2\\ldots i_n}^{a_1a_2\\ldots a_n}\n", " a_{a_1}^\\dagger a_{a_2}^\\dagger \\ldots a_{a_n}^\\dagger\n", " a_{i_n} \\ldots a_{i_2} a_{i_1}.\n", " \\end{align*}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The energy is given by" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "E_{\\mathrm{CC}} = \\langle\\Phi_0\\vert \\overline{H}\\vert\n", " \\Phi_0\\rangle,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where $\\overline{H}$ is a similarity transformed Hamiltonian" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\begin{align*}\n", " \\overline{H}&= e^{-\\hat{T}} \\hat{H}_N e^{\\hat{T}}\n", " \\\\ \\hat{H}_N &= \\hat{H} - \\langle\\Phi_0\\vert \\hat{H} \\vert\n", " \\Phi_0\\rangle.\n", " \\end{align*}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The coupled cluster energy is a function of the unknown cluster\n", " amplitudes $t_{i_1i_2\\ldots i_n}^{a_1a_2\\ldots a_n}$, given by\n", " the solutions to the amplitude equations" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:amplitudeeq\"></div>\n", "\n", "$$\n", "\\begin{equation}\\label{eq:amplitudeeq} \\tag{2}\n", " 0 = \\langle\\Phi_{i_1 \\ldots i_n}^{a_1 \\ldots a_n}\\vert\n", " \\overline{H}\\vert \\Phi_0\\rangle.\n", " \\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In order to set up the above equations, \n", "the similarity transformed Hamiltonian $\\overline{H}$ is expanded\n", " using the Baker-Campbell-Hausdorff expression," ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:bch\"></div>\n", "\n", "$$\n", "\\begin{equation}\\label{eq:bch} \\tag{3}\n", " \\overline{H}= \\hat{H}_N + \\left[ \\hat{H}_N, \\hat{T} \\right]\n", " + \\frac{1}{2} \\left[\\left[ \\hat{H}_N, \\hat{T} \\right],\n", " \\hat{T}\\right] + \\ldots + \\frac{1}{n!} \\left[\n", " \\ldots \\left[ \\hat{H}_N, \\hat{T} \\right], \\ldots \\hat{T}\n", " \\right] +\\dots\n", " \\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and simplified using the connected cluster theorem" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\overline{H}= \\hat{H}_N + \\left( \\hat{H}_N \\hat{T}\\right)_c\n", " + \\frac{1}{2} \\left( \\hat{H}_N \\hat{T}^2\\right)_c + \\dots +\n", " \\frac{1}{n!} \\left( \\hat{H}_N \\hat{T}^n\\right)_c +\\dots\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We will discuss parts of the the derivation below.\n", "\n", "\n", "\n", "\n", " We will now approximate the cluster operator $\\hat{T}$ to include\n", " only $2p-2h$ correlations. This leads to the so-called CCD\n", " approximation, that is" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\hat{T}\\approx\n", " \\hat{T}_2=\\frac{1}{4}\\sum_{abij}t_{ij}^{ab}a^{\\dagger}_aa^{\\dagger}_ba_ja_i,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "meaning that we have" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\vert \\Psi_0 \\rangle \\approx \\vert \\Psi_{CCD} \\rangle =\n", " \\exp{\\left(\\hat{T}_2\\right)}\\vert \\Phi_0\\rangle.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Inserting these equations in the expression for the computation of\n", " the energy we have, with a Hamiltonian defined with respect to a\n", " general reference vacuum" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\hat{H}=\\hat{H}_N+E_{\\mathrm{ref}},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "with" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\hat{H}_N=\\sum_{pq}\\langle p \\vert \\hat{f} \\vert q \\rangle\n", " a^{\\dagger}_pa_q + \\frac{1}{4}\\sum_{pqrs}\\langle pq \\vert \\hat{v}\n", " \\vert rs \\rangle a^{\\dagger}_pa^{\\dagger}_qa_sa_r,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "we obtain that the energy can be written as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\langle \\Phi_0 \\vert\n", " \\exp{\\left(-\\hat{T}_2\\right)}\\hat{H}_N\\exp{\\left(\\hat{T}_2\\right)}\\vert\n", " \\Phi_0\\rangle = \\langle \\Phi_0 \\vert \\hat{H}_N(1+\\hat{T}_2)\\vert\n", " \\Phi_0\\rangle = E_{CCD}.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This quantity becomes" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "E_{CCD}=E_{\\mathrm{ref}}+\\frac{1}{4}\\sum_{abij}\\langle ij \\vert\n", " \\hat{v} \\vert ab \\rangle t_{ij}^{ab},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where the latter is the correlation energy from this level of\n", " approximation of coupled cluster theory. Similarly, the expression for the\n", " amplitudes reads" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\langle \\Phi_{ij}^{ab} \\vert\n", " \\exp{\\left(-\\hat{T}_2\\right)}\\hat{H}_N\\exp{\\left(\\hat{T}_2\\right)}\\vert\n", " \\Phi_0\\rangle = 0.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "These equations can be reduced to (after several applications of\n", " Wick's theorem), for all $i > j$ and all $a > b$," ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "0 = \\langle ab \\vert \\hat{v} \\vert ij \\rangle +\n", " \\left(\\epsilon_a+\\epsilon_b-\\epsilon_i-\\epsilon_j\\right)t_{ij}^{ab}+\\frac{1}{2}\\sum_{cd} \\langle ab \\vert \\hat{v} \\vert\n", " cd \\rangle t_{ij}^{cd}+\\frac{1}{2}\\sum_{kl} \\langle kl \\vert \\hat{v}\n", " \\vert ij \\rangle t_{kl}^{ab}+\\hat{P}(ij\\vert ab)\\sum_{kc} \\langle kb\n", " \\vert \\hat{v} \\vert cj \\rangle t_{ik}^{ac} \\nonumber\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:ccd\"></div>\n", "\n", "$$\n", "\\begin{equation} +\\frac{1}{4}\\sum_{klcd} \\langle kl \\vert \\hat{v} \\vert cd \\rangle\n", " t_{ij}^{cd}t_{kl}^{ab}+\\hat{P}(ij)\\sum_{klcd} \\langle kl \\vert\n", " \\hat{v} \\vert cd \\rangle t_{ik}^{ac}t_{jl}^{bd}-\\frac{1}{2}\\hat{P}(ij)\\sum_{klcd} \\langle kl \\vert \\hat{v} \\vert\n", " cd \\rangle t_{ik}^{dc}t_{lj}^{ab}-\\frac{1}{2}\\hat{P}(ab)\\sum_{klcd}\n", " \\langle kl \\vert \\hat{v} \\vert cd \\rangle t_{lk}^{ac}t_{ij}^{db},\n", " \\label{eq:ccd} \\tag{4}\n", " \\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where we have defined" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\hat{P}\\left(ab\\right)= 1-\\hat{P}_{ab},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where $\\hat{P}_{ab}$ interchanges two particles occupying the\n", " quantum numbers $a$ and $b$. The operator $\\hat{P}(ij\\vert ab)$ is\n", " defined as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\hat{P}(ij\\vert ab) = (1-\\hat{P}_{ij})(1-\\hat{P}_{ab}).\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The single-particle energies $\\epsilon_p$ are normally taken to be Hartree-Fock single-particle energies.\n", " Recall also that the unknown amplitudes $t_{ij}^{ab}$ represent\n", " anti-symmetrized matrix elements, meaning that they obey the same\n", " symmetry relations as the two-body interaction, that is" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "t_{ij}^{ab}=-t_{ji}^{ab}=-t_{ij}^{ba}=t_{ji}^{ba}.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The two-body matrix elements are also anti-symmetrized, meaning that" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\langle ab \\vert \\hat{v} \\vert ij \\rangle = -\\langle ab \\vert\n", " \\hat{v} \\vert ji \\rangle= -\\langle ba \\vert \\hat{v} \\vert ij\n", " \\rangle=\\langle ba \\vert \\hat{v} \\vert ji \\rangle.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The non-linear equations for the unknown amplitudes $t_{ij}^{ab}$\n", " are solved iteratively. \n", "\n", "In order to develop a program, chapter 8 of the recent [Lecture Notes in Physics (volume 936)](http://www.springer.com/us/book/9783319533353) is highly recommended as literature.\n", "All material is available from the [source site](https://github.com/ManyBodyPhysics/LectureNotesPhysics/blob/master/doc/src/lnp.pdf). Example of CCD codes are available from the [program site](https://github.com/ManyBodyPhysics/LectureNotesPhysics/tree/master/Programs/Chapter8-programs/cpp/CCD). These can be used to benchmark your own program.\n", "\n", "### Project 2 a):\n", "\n", "We will use our Hartree-Fock basis from project 1 to define matrix elements and the single-particle energies to be used in the CCD equations. The Hartree-Fock basis defines the so-called reference energy" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"_auto1\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " E_{\\mathrm{ref}} = \\sum_{i\\le F} \\sum_{\\alpha\\beta}\n", " C^*_{i\\alpha}C_{i\\beta}\\langle \\alpha | h | \\beta \\rangle +\n", " \\frac{1}{2}\\sum_{ij\\le F}\\sum_{{\\alpha\\beta\\gamma\\delta}}\n", " C^*_{i\\alpha}C^*_{j\\beta}C_{i\\gamma}C_{j\\delta}\\langle\n", " \\alpha\\beta|\\hat{v}|\\gamma\\delta\\rangle. \n", "\\label{_auto1} \\tag{5}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "You will need to transform the matrix elements from the harmonic oscillator basis to the Hartree-Fock basis.\n", "The first step is to program" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"_auto2\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " \\langle pq \\vert \\hat{v} \\vert rs\\rangle_{AS}=\n", " \\sum_{{\\alpha\\beta\\gamma\\delta}}\n", " C^*_{p\\alpha}C^*_{q\\beta}C_{r\\gamma}C_{s\\delta}\\langle\n", " \\alpha\\beta|\\hat{v}|\\gamma\\delta\\rangle_{AS}, \n", "\\label{_auto2} \\tag{6}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where the coefficients are those from the last Hartree-Fock iteration and the matrix elements are all anti-symmetrized.\n", "You can extend your Hartree-Fock program to write out these matrix elements after the last Hartree-Fock iteration.\n", "Make sure that your matrix elements are structured according to conserved quantum numbers, avoiding thereby the write out of many zeros. \n", "\n", "To test that your matrix elements are set up correctly, when you read in these matrix elements in the CCD code, make sure that the reference energy from your Hartree-Fock calculations are reproduced. \n", "\n", "\n", "### Project 2 b):\n", "\n", "Set up a code which solves the CCD equation by encoding the equations as they stand, that is follow the mathematical expressions and perform the sums over all single-particle states. Compute the energy of the two-electron systems using \n", "all single-particle states that were needed in order to obtain the Hartree-Fock limit. Compare these with Taut's results for $\\omega=1$ a.u. Since you do not include singles you will not get the exact result. If you wish to include singles, you will able to obtain the exact results in a basis with at least ten major oscillator shells. \n", "Perform also calculations with $N=6$, $N=12$ and $N=20$ electrons and compare with reference [2] of Pedersen et al below.\n", "\n", "### Project 2 c):\n", "\n", "The next step consists in rewriting the equations in terms of matrix-matrix multiplications and subdividing \n", "the matrix elements and operations in terms of two-particle configuration that conserve total spin projection and projection of the orbital momentum. Rewrite also the equations in terms of so-called intermediates, as detailed \n", "in section 8.7 of [Lietz et al](https://github.com/ManyBodyPhysics/LectureNotesPhysics/blob/master/doc/src/lnp.pdf).\n", "This section gives a detailed description on how to build a coupled cluster code and is highly recommended. \n", "\n", "Rerun your calculations for $=2$, $N=6$, $N=12$ and $N=20$ electrons using your optimal Hartree-Fock basis. Make sure your results from 2b) stay the same. \n", "\n", "Calculate as well ground state energies for $\\omega=0.5$ and $\\omega=0.1$. Try to compare with eventual variational\n", "Monte Carlo results from other students, if possible. \n", "\n", "### Project 2 d):\n", "\n", "The final step is to parallelize your CCD code using either OpenMP or MPI and do a performance analysis. Use the $N=6$ case. Make a performance analysis by timing your serial code\n", "with and without vectorization. Perform several runs and compute an average timing analysis\n", "with and without vectorization. Comment your results. \n", "\n", "Compare thereafter your serial code(s) with the speedup you get by parallelizing your code, running either OpenMP or MPI or both.\n", "Do you get a near $100\\%$ speedup with the parallel version? Comment again your results and perform timing benchmarks several times in order \n", "to extract an average performance time. \n", "\n", "\n", "\n", "### Literature\n", "\n", "1. M. Taut, Phys. Rev. A **48**, 3561 - 3566 (1993).\n", "\n", "2. M. L. Pedersen, G. Hagen, M. Hjorth-Jensen, S. Kvaal, and F. Pederiva, Phys. Rev. B **84**, 115302 (2011)\n", "\n", "## Introduction to numerical projects\n", "\n", "Here follows a brief recipe and recommendation on how to write a report for each\n", "project.\n", "\n", " * Give a short description of the nature of the problem and the eventual numerical methods you have used.\n", "\n", " * Describe the algorithm you have used and/or developed. Here you may find it convenient to use pseudocoding. In many cases you can describe the algorithm in the program itself.\n", "\n", " * Include the source code of your program. Comment your program properly.\n", "\n", " * If possible, try to find analytic solutions, or known limits in order to test your program when developing the code.\n", "\n", " * Include your results either in figure form or in a table. Remember to label your results. All tables and figures should have relevant captions and labels on the axes.\n", "\n", " * Try to evaluate the reliabilty and numerical stability/precision of your results. If possible, include a qualitative and/or quantitative discussion of the numerical stability, eventual loss of precision etc.\n", "\n", " * Try to give an interpretation of you results in your answers to the problems.\n", "\n", " * Critique: if possible include your comments and reflections about the exercise, whether you felt you learnt something, ideas for improvements and other thoughts you've made when solving the exercise. We wish to keep this course at the interactive level and your comments can help us improve it.\n", "\n", " * Try to establish a practice where you log your work at the computerlab. You may find such a logbook very handy at later stages in your work, especially when you don't properly remember what a previous test version of your program did. Here you could also record the time spent on solving the exercise, various algorithms you may have tested or other topics which you feel worthy of mentioning.\n", "\n", "## Format for electronic delivery of report and programs\n", "\n", "The preferred format for the report is a PDF file. You can also use DOC or postscript formats or as an ipython notebook file. As programming language we prefer that you choose between C/C++, Fortran2008 or Python. The following prescription should be followed when preparing the report:\n", "\n", " * Use Devilry to hand in your projects, log in at <http://devilry.ifi.uio.no> with your normal UiO username and password.\n", "\n", " * Upload **only** the report file! For the source code file(s) you have developed please provide us with your link to your github domain. The report file should include all of your discussions and a list of the codes you have developed. The full version of the codes should be in your github repository.\n", "\n", " * In your github repository, please include a folder which contains selected results. These can be in the form of output from your code for a selected set of runs and input parameters.\n", "\n", " * Still in your github make a folder where you place your codes. \n", "\n", " * In this and all later projects, you should include tests (for example unit tests) of your code(s).\n", "\n", " * Comments from us on your projects, approval or not, corrections to be made etc can be found under your Devilry domain and are only visible to you and the teachers of the course.\n", "\n", "Finally, \n", "we encourage you to work two and two together. Optimal working groups consist of \n", "2-3 students. You can then hand in a common report." ] } ], "metadata": {}, "nbformat": 4, "nbformat_minor": 2 }
cc0-1.0
hendrycks/fooling
MNIST/show_reconstructions.ipynb
1
37082
{ "cells": [ { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Extracting MNIST_data/train-images-idx3-ubyte.gz\n", "Extracting MNIST_data/train-labels-idx1-ubyte.gz\n", "Extracting MNIST_data/t10k-images-idx3-ubyte.gz\n", "Extracting MNIST_data/t10k-labels-idx1-ubyte.gz\n" ] } ], "source": [ "import numpy as np\n", "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "from mpl_toolkits.axes_grid1 import ImageGrid\n", "from matplotlib.backends.backend_pdf import PdfPages\n", "from matplotlib.transforms import offset_copy\n", "import pickle\n", "import sklearn.metrics as sk\n", "from tensorflow.examples.tutorials.mnist import input_data\n", "mnist = input_data.read_data_sets(\"MNIST_data/\")" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [], "source": [ "mnist_iter = pickle.load(open('./data/mnist_foolers_reconstruction.p', 'rb'), encoding='latin1')\n", "mnist_iter_keys = list(mnist_iter.keys())" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "AUROC 0.962084487535\n", "AUPR 0.96575786218\n" ] } ], "source": [ "examples = []\n", "labels = []\n", "for k in mnist_iter_keys:\n", " examples.append(np.mean(mnist_iter[k][2][0] - mnist_iter[k][4][0]))\n", " labels.append(1)\n", " examples.append(np.mean(mnist_iter[k][3][0] - mnist_iter[k][5][0]))\n", " labels.append(0)\n", "\n", "print('AUROC', sk.roc_auc_score(labels, examples))\n", "print('AUPR', sk.average_precision_score(labels, examples))" ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAASwAAAEECAYAAACSggkuAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n/e7Ou74YcAAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f656ba56b38>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "def turn_off_ticks():\n", " plt.tick_params(axis='both', which='both', bottom='off',\n", " top='off', labelbottom='off', right='off',\n", " left='off', labelleft='off')\n", " \n", "with PdfPages('reconstructions.pdf') as pdf:\n", " fig = plt.figure(1, (5, 3.75))\n", "\n", " for i in range(1,12,4):\n", " k = np.random.choice(mnist_iter_keys)\n", " image_fool = mnist_iter[k][2][0]\n", " image = mnist_iter[k][3][0]\n", " reconstr_fool = mnist_iter[k][4][0]\n", " reconstr = mnist_iter[k][5][0]\n", "\n", " plt.subplot(3,4,i)\n", " if i == 1:\n", " plt.title('Clean')\n", " plt.imshow(image.reshape((28,28)))\n", " turn_off_ticks()\n", " \n", " plt.subplot(3,4,i+1)\n", " if i == 1:\n", " plt.title('Clean\\nRecon.')\n", " plt.imshow(reconstr.reshape((28,28)))\n", " turn_off_ticks()\n", " \n", " plt.subplot(3,4,i+2)\n", " if i == 1:\n", " plt.title('Adversarial')\n", " plt.imshow(image_fool.reshape((28,28)))\n", " turn_off_ticks()\n", "\n", " plt.subplot(3,4,i+3)\n", " if i == 1:\n", " plt.title('Adversarial\\nRecon.')\n", " plt.imshow(reconstr_fool.reshape((28,28)))\n", " turn_off_ticks()\n", "\n", " plt.subplots_adjust(left=None, bottom=0, right=None, top=0.8, wspace=0, hspace=0)\n", " \n", " pdf.savefig(fig, bbox_inches='tight', pad_inches=0)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
aschaffn/phys202-2015-work
assignments/assignment02/ProjectEuler2.ipynb
1
2080
{ "cells": [ { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "# Project Euler: Problem 2" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "Each new term in the Fibonacci sequence is generated by adding the previous two terms. By starting with 0 and 1, the first 12 terms will be:\n", "\n", "0, 1, 1, 2, 3, 5, 8, 13, 21, 34, 55, 89, ...\n", "\n", "By considering the terms in the Fibonacci sequence whose values do not exceed four million, find the sum of the even-valued terms." ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "6cff4e8e53b15273846c3aecaea84a3d", "solution": true } }, "outputs": [ { "data": { "text/plain": [ "4613732" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "fib = [0,1]\n", "index = 1 \n", "while fib[index] < 4000000:\n", " fib.append(fib[index] + fib[index-1])\n", " index += 1\n", "sum([f for f in fib if f % 2 == 0])\n", " " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": false, "nbgrader": { "checksum": "e8afe8a5735f0fff949b706895f8583d", "grade": true, "grade_id": "projecteuler2", "points": 10 } }, "outputs": [], "source": [ "# This cell will be used for grading, leave it at the end of the notebook." ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.0" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
CartoDB/cartoframes
docs/examples/data_visualization/layers/add_multiple_layers.ipynb
1
177463
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "## Add Multiple Layers\n", "\n", "In this example, three Layers are added to a Map. Notice the draw order and default symbology for each.\n", "\n", "For more information, run `help(Layer)`" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "from cartoframes.auth import set_default_credentials\n", "from cartoframes.viz import Map, Layer\n", "\n", "set_default_credentials('cartoframes')" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<iframe\n", " frameborder=\"0\"\n", " style=\"\n", " border: 1px solid #cfcfcf;\n", " width: 100%;\n", " height: 632px;\n", " \"\n", " srcDoc=\"\n", " <!DOCTYPE html>\n", "<html lang=&quot;en&quot;>\n", "<head>\n", " <title>None</title>\n", " <meta name=&quot;description&quot; content=&quot;None&quot;>\n", " <meta name=&quot;viewport&quot; content=&quot;width=device-width, initial-scale=1.0&quot;>\n", " <meta charset=&quot;UTF-8&quot;>\n", " <!-- Include CARTO VL JS -->\n", " <script src=&quot;https://libs.cartocdn.com/carto-vl/v1.4/carto-vl.min.js&quot;></script>\n", " <!-- Include Mapbox GL JS -->\n", " <script src=&quot;https://api.tiles.mapbox.com/mapbox-gl-js/v1.0.0/mapbox-gl.js&quot;></script>\n", " <!-- Include Mapbox GL CSS -->\n", " <link href=&quot;https://api.tiles.mapbox.com/mapbox-gl-js/v1.0.0/mapbox-gl.css&quot; rel=&quot;stylesheet&quot; />\n", "\n", " <!-- Include Airship -->\n", " <script nomodule=&quot;&quot; src=&quot;https://libs.cartocdn.com/airship-components/v2.3/airship.js&quot;></script>\n", " <script type=&quot;module&quot; src=&quot;https://libs.cartocdn.com/airship-components/v2.3/airship/airship.esm.js&quot;></script>\n", " <script src=&quot;https://libs.cartocdn.com/airship-bridge/v2.3/asbridge.min.js&quot;></script>\n", " <link href=&quot;https://libs.cartocdn.com/airship-style/v2.3/airship.min.css&quot; rel=&quot;stylesheet&quot;>\n", " <link href=&quot;https://libs.cartocdn.com/airship-icons/v2.3/icons.css&quot; rel=&quot;stylesheet&quot;>\n", "\n", " <link href=&quot;https://fonts.googleapis.com/css?family=Roboto&quot; rel=&quot;stylesheet&quot; type=&quot;text/css&quot;>\n", "\n", " <!-- External libraries -->\n", "\n", " <!-- pako -->\n", " <script src=&quot;https://libs.cartocdn.com/cartoframes/dependencies/pako_inflate.min.js&quot;></script>\n", " \n", " <!-- html2canvas -->\n", " \n", "\n", " \n", " <style>\n", " body {\n", " margin: 0;\n", " padding: 0;\n", " }\n", "\n", " aside.as-sidebar {\n", " min-width: 300px;\n", " }\n", "\n", " .map-image {\n", " display: none;\n", " max-width: 100%;\n", " height: auto;\n", " }\n", "\n", " as-layer-selector-slot .as-layer-selector-slot--wrapper .as-caption { // FIXME\n", " font-size: 14px;\n", " line-height: 14px;\n", " }\n", "</style>\n", " <style>\n", " .map {\n", " position: absolute;\n", " height: 100%;\n", " width: 100%;\n", " }\n", "\n", " .map-info {\n", " position: absolute;\n", " bottom: 0;\n", " padding: 0 5px;\n", " background-color: rgba(255, 255, 255, 0.5);\n", " margin: 0;\n", " color: rgba(0, 0, 0, 0.75);\n", " font-size: 12px;\n", " width: auto;\n", " height: 18px;\n", " font-family: 'Open Sans';\n", " }\n", "\n", " .map-footer {\n", " background: #F2F6F9;\n", " font-family: Roboto;\n", " font-size: 12px;\n", " line-height: 24px;\n", " color: #162945;\n", " text-align: center;\n", " z-index: 2;\n", " }\n", "\n", " .map-footer a {\n", " text-decoration: none;\n", " }\n", "\n", " .map-footer a:hover {\n", " text-decoration: underline;\n", " }\n", "</style>\n", " <style>\n", " #error-container {\n", " position: absolute;\n", " width: 100%;\n", " height: 100%;\n", " background-color: white;\n", " visibility: hidden;\n", " padding: 1em;\n", " font-family: &quot;Courier New&quot;, Courier, monospace;\n", " margin: 0 auto;\n", " font-size: 14px;\n", " overflow: auto;\n", " z-index: 1000;\n", " color: black;\n", " }\n", "\n", " .error-section {\n", " padding: 1em;\n", " border-radius: 5px;\n", " background-color: #fee;\n", " }\n", "\n", " #error-container #error-highlight {\n", " font-weight: bold;\n", " color: inherit;\n", " }\n", "\n", " #error-container #error-type {\n", " color: #008000;\n", " }\n", "\n", " #error-container #error-name {\n", " color: #ba2121;\n", " }\n", "\n", " #error-container #error-content {\n", " margin-top: 0.4em;\n", " }\n", "\n", " .error-details {\n", " margin-top: 1em;\n", " }\n", "\n", " #error-stacktrace {\n", " list-style: none;\n", " }\n", "</style>\n", " <style>\n", " .popup-content {\n", " display: flex;\n", " flex-direction: column;\n", " padding: 8px;\n", " }\n", "\n", " .popup-name {\n", " font-size: 12px;\n", " font-weight: 400;\n", " line-height: 20px;\n", " margin-bottom: 4px;\n", " }\n", "\n", " .popup-value {\n", " font-size: 16px;\n", " font-weight: 600;\n", " line-height: 20px;\n", " }\n", "\n", " .popup-value:not(:last-of-type) {\n", " margin-bottom: 16px;\n", " }\n", "</style>\n", " <style>\n", " as-widget-header .as-widget-header__header {\n", " margin-bottom: 8px;\n", " overflow-wrap: break-word;\n", " }\n", "\n", " as-widget-header .as-widget-header__subheader {\n", " margin-bottom: 12px;\n", " }\n", "\n", " as-category-widget {\n", " max-height: 250px;\n", " }\n", "</style>\n", "</head>\n", "\n", "<body class=&quot;as-app-body as-app&quot;>\n", " <img id=&quot;map-image&quot; class=&quot;map-image&quot; alt='Static map image' />\n", " <as-responsive-content id=&quot;main-container&quot;>\n", " \n", " <main class=&quot;as-main&quot;>\n", " <div class=&quot;as-map-area&quot;>\n", " <div id=&quot;map&quot; class=&quot;map&quot;></div>\n", " \n", " \n", " </div> <!-- as-map-area -->\n", " </main> <!-- as-main -->\n", " </as-responsive-content>\n", "\n", " \n", "\n", " <div id=&quot;error-container&quot; class=&quot;error&quot;>\n", " <section class=&quot;error-section&quot;>\n", " <span class=&quot;errors&quot; id=&quot;error-name&quot;></span>:\n", " <section id=&quot;error-content&quot;>\n", " <span class=&quot;errors&quot; id=&quot;error-type&quot;></span>\n", " <span class=&quot;errors&quot; id=&quot;error-message&quot;></span>\n", " </section>\n", " </section>\n", "\n", " <details class=&quot;error-details&quot;>\n", " <summary>StackTrace</summary>\n", " <ul id=&quot;error-stacktrace&quot;></ul>\n", " </details>\n", "</div>\n", "</body>\n", "\n", "<script>\n", " var init = (function () {\n", " 'use strict';\n", "\n", " const BASEMAPS = {\n", " DarkMatter: carto.basemaps.darkmatter,\n", " Voyager: carto.basemaps.voyager,\n", " Positron: carto.basemaps.positron\n", " };\n", "\n", " const attributionControl = new mapboxgl.AttributionControl({\n", " compact: false\n", " });\n", "\n", " const FIT_BOUNDS_SETTINGS = { animate: false, padding: 50, maxZoom: 16 };\n", "\n", " /** From https://github.com/errwischt/stacktrace-parser/blob/master/src/stack-trace-parser.js */\n", "\n", " /**\n", " * This parses the different stack traces and puts them into one format\n", " * This borrows heavily from TraceKit (https://github.com/csnover/TraceKit)\n", " */\n", "\n", " const UNKNOWN_FUNCTION = '<unknown>';\n", " const chromeRe = /^\\s*at (.*?) ?\\(((?:file|https?|blob|chrome-extension|native|eval|webpack|<anonymous>|\\/).*?)(?::(\\d+))?(?::(\\d+))?\\)?\\s*$/i;\n", " const chromeEvalRe = /\\((\\S*)(?::(\\d+))(?::(\\d+))\\)/;\n", " const winjsRe = /^\\s*at (?:((?:\\[object object\\])?.+) )?\\(?((?:file|ms-appx|https?|webpack|blob):.*?):(\\d+)(?::(\\d+))?\\)?\\s*$/i;\n", " const geckoRe = /^\\s*(.*?)(?:\\((.*?)\\))?(?:^|@)((?:file|https?|blob|chrome|webpack|resource|\\[native).*?|[^@]*bundle)(?::(\\d+))?(?::(\\d+))?\\s*$/i;\n", " const geckoEvalRe = /(\\S+) line (\\d+)(?: > eval line \\d+)* > eval/i;\n", "\n", " function parse(stackString) {\n", " const lines = stackString.split('\\n');\n", "\n", " return lines.reduce((stack, line) => {\n", " const parseResult =\n", " parseChrome(line) ||\n", " parseWinjs(line) ||\n", " parseGecko(line);\n", "\n", " if (parseResult) {\n", " stack.push(parseResult);\n", " }\n", "\n", " return stack;\n", " }, []);\n", " }\n", "\n", " function parseChrome(line) {\n", " const parts = chromeRe.exec(line);\n", "\n", " if (!parts) {\n", " return null;\n", " }\n", "\n", " const isNative = parts[2] && parts[2].indexOf('native') === 0; // start of line\n", " const isEval = parts[2] && parts[2].indexOf('eval') === 0; // start of line\n", "\n", " const submatch = chromeEvalRe.exec(parts[2]);\n", " if (isEval && submatch != null) {\n", " // throw out eval line/column and use top-most line/column number\n", " parts[2] = submatch[1]; // url\n", " parts[3] = submatch[2]; // line\n", " parts[4] = submatch[3]; // column\n", " }\n", "\n", " return {\n", " file: !isNative ? parts[2] : null,\n", " methodName: parts[1] || UNKNOWN_FUNCTION,\n", " arguments: isNative ? [parts[2]] : [],\n", " lineNumber: parts[3] ? +parts[3] : null,\n", " column: parts[4] ? +parts[4] : null,\n", " };\n", " }\n", "\n", " function parseWinjs(line) {\n", " const parts = winjsRe.exec(line);\n", "\n", " if (!parts) {\n", " return null;\n", " }\n", "\n", " return {\n", " file: parts[2],\n", " methodName: parts[1] || UNKNOWN_FUNCTION,\n", " arguments: [],\n", " lineNumber: +parts[3],\n", " column: parts[4] ? +parts[4] : null,\n", " };\n", " }\n", "\n", " function parseGecko(line) {\n", " const parts = geckoRe.exec(line);\n", "\n", " if (!parts) {\n", " return null;\n", " }\n", "\n", " const isEval = parts[3] && parts[3].indexOf(' > eval') > -1;\n", "\n", " const submatch = geckoEvalRe.exec(parts[3]);\n", " if (isEval && submatch != null) {\n", " // throw out eval line/column and use top-most line number\n", " parts[3] = submatch[1];\n", " parts[4] = submatch[2];\n", " parts[5] = null; // no column when eval\n", " }\n", "\n", " return {\n", " file: parts[3],\n", " methodName: parts[1] || UNKNOWN_FUNCTION,\n", " arguments: parts[2] ? parts[2].split(',') : [],\n", " lineNumber: parts[4] ? +parts[4] : null,\n", " column: parts[5] ? +parts[5] : null,\n", " };\n", " }\n", "\n", " function displayError(e) {\n", " const error$ = document.getElementById('error-container');\n", " const errors$ = error$.getElementsByClassName('errors');\n", " const stacktrace$ = document.getElementById('error-stacktrace');\n", "\n", " errors$[0].innerHTML = e.name;\n", " errors$[1].innerHTML = e.type;\n", " errors$[2].innerHTML = e.message.replace(e.type, '');\n", "\n", " error$.style.visibility = 'visible';\n", "\n", " const stack = parse(e.stack);\n", " const list = stack.map(item => {\n", " return `<li>\n", " at <span class=&quot;stacktrace-method&quot;>${item.methodName}:</span>\n", " (${item.file}:${item.lineNumber}:${item.column})\n", " </li>`;\n", " });\n", "\n", " stacktrace$.innerHTML = list.join('\\n');\n", " }\n", "\n", " // Computes the decimal coefficient and exponent of the specified number x with\n", " // significant digits p, where x is positive and p is in [1, 21] or undefined.\n", " // For example, formatDecimal(1.23) returns [&quot;123&quot;, 0].\n", " function formatDecimal(x, p) {\n", " if ((i = (x = p ? x.toExponential(p - 1) : x.toExponential()).indexOf(&quot;e&quot;)) < 0) return null; // NaN, ±Infinity\n", " var i, coefficient = x.slice(0, i);\n", "\n", " // The string returned by toExponential either has the form \\d\\.\\d+e[-+]\\d+\n", " // (e.g., 1.2e+3) or the form \\de[-+]\\d+ (e.g., 1e+3).\n", " return [\n", " coefficient.length > 1 ? coefficient[0] + coefficient.slice(2) : coefficient,\n", " +x.slice(i + 1)\n", " ];\n", " }\n", "\n", " function exponent(x) {\n", " return x = formatDecimal(Math.abs(x)), x ? x[1] : NaN;\n", " }\n", "\n", " function formatGroup(grouping, thousands) {\n", " return function(value, width) {\n", " var i = value.length,\n", " t = [],\n", " j = 0,\n", " g = grouping[0],\n", " length = 0;\n", "\n", " while (i > 0 && g > 0) {\n", " if (length + g + 1 > width) g = Math.max(1, width - length);\n", " t.push(value.substring(i -= g, i + g));\n", " if ((length += g + 1) > width) break;\n", " g = grouping[j = (j + 1) % grouping.length];\n", " }\n", "\n", " return t.reverse().join(thousands);\n", " };\n", " }\n", "\n", " function formatNumerals(numerals) {\n", " return function(value) {\n", " return value.replace(/[0-9]/g, function(i) {\n", " return numerals[+i];\n", " });\n", " };\n", " }\n", "\n", " // [[fill]align][sign][symbol][0][width][,][.precision][~][type]\n", " var re = /^(?:(.)?([<>=^]))?([+\\-( ])?([$#])?(0)?(\\d+)?(,)?(\\.\\d+)?(~)?([a-z%])?$/i;\n", "\n", " function formatSpecifier(specifier) {\n", " if (!(match = re.exec(specifier))) throw new Error(&quot;invalid format: &quot; + specifier);\n", " var match;\n", " return new FormatSpecifier({\n", " fill: match[1],\n", " align: match[2],\n", " sign: match[3],\n", " symbol: match[4],\n", " zero: match[5],\n", " width: match[6],\n", " comma: match[7],\n", " precision: match[8] && match[8].slice(1),\n", " trim: match[9],\n", " type: match[10]\n", " });\n", " }\n", "\n", " formatSpecifier.prototype = FormatSpecifier.prototype; // instanceof\n", "\n", " function FormatSpecifier(specifier) {\n", " this.fill = specifier.fill === undefined ? &quot; &quot; : specifier.fill + &quot;&quot;;\n", " this.align = specifier.align === undefined ? &quot;>&quot; : specifier.align + &quot;&quot;;\n", " this.sign = specifier.sign === undefined ? &quot;-&quot; : specifier.sign + &quot;&quot;;\n", " this.symbol = specifier.symbol === undefined ? &quot;&quot; : specifier.symbol + &quot;&quot;;\n", " this.zero = !!specifier.zero;\n", " this.width = specifier.width === undefined ? undefined : +specifier.width;\n", " this.comma = !!specifier.comma;\n", " this.precision = specifier.precision === undefined ? undefined : +specifier.precision;\n", " this.trim = !!specifier.trim;\n", " this.type = specifier.type === undefined ? &quot;&quot; : specifier.type + &quot;&quot;;\n", " }\n", "\n", " FormatSpecifier.prototype.toString = function() {\n", " return this.fill\n", " + this.align\n", " + this.sign\n", " + this.symbol\n", " + (this.zero ? &quot;0&quot; : &quot;&quot;)\n", " + (this.width === undefined ? &quot;&quot; : Math.max(1, this.width | 0))\n", " + (this.comma ? &quot;,&quot; : &quot;&quot;)\n", " + (this.precision === undefined ? &quot;&quot; : &quot;.&quot; + Math.max(0, this.precision | 0))\n", " + (this.trim ? &quot;~&quot; : &quot;&quot;)\n", " + this.type;\n", " };\n", "\n", " // Trims insignificant zeros, e.g., replaces 1.2000k with 1.2k.\n", " function formatTrim(s) {\n", " out: for (var n = s.length, i = 1, i0 = -1, i1; i < n; ++i) {\n", " switch (s[i]) {\n", " case &quot;.&quot;: i0 = i1 = i; break;\n", " case &quot;0&quot;: if (i0 === 0) i0 = i; i1 = i; break;\n", " default: if (!+s[i]) break out; if (i0 > 0) i0 = 0; break;\n", " }\n", " }\n", " return i0 > 0 ? s.slice(0, i0) + s.slice(i1 + 1) : s;\n", " }\n", "\n", " var prefixExponent;\n", "\n", " function formatPrefixAuto(x, p) {\n", " var d = formatDecimal(x, p);\n", " if (!d) return x + &quot;&quot;;\n", " var coefficient = d[0],\n", " exponent = d[1],\n", " i = exponent - (prefixExponent = Math.max(-8, Math.min(8, Math.floor(exponent / 3))) * 3) + 1,\n", " n = coefficient.length;\n", " return i === n ? coefficient\n", " : i > n ? coefficient + new Array(i - n + 1).join(&quot;0&quot;)\n", " : i > 0 ? coefficient.slice(0, i) + &quot;.&quot; + coefficient.slice(i)\n", " : &quot;0.&quot; + new Array(1 - i).join(&quot;0&quot;) + formatDecimal(x, Math.max(0, p + i - 1))[0]; // less than 1y!\n", " }\n", "\n", " function formatRounded(x, p) {\n", " var d = formatDecimal(x, p);\n", " if (!d) return x + &quot;&quot;;\n", " var coefficient = d[0],\n", " exponent = d[1];\n", " return exponent < 0 ? &quot;0.&quot; + new Array(-exponent).join(&quot;0&quot;) + coefficient\n", " : coefficient.length > exponent + 1 ? coefficient.slice(0, exponent + 1) + &quot;.&quot; + coefficient.slice(exponent + 1)\n", " : coefficient + new Array(exponent - coefficient.length + 2).join(&quot;0&quot;);\n", " }\n", "\n", " var formatTypes = {\n", " &quot;%&quot;: function(x, p) { return (x * 100).toFixed(p); },\n", " &quot;b&quot;: function(x) { return Math.round(x).toString(2); },\n", " &quot;c&quot;: function(x) { return x + &quot;&quot;; },\n", " &quot;d&quot;: function(x) { return Math.round(x).toString(10); },\n", " &quot;e&quot;: function(x, p) { return x.toExponential(p); },\n", " &quot;f&quot;: function(x, p) { return x.toFixed(p); },\n", " &quot;g&quot;: function(x, p) { return x.toPrecision(p); },\n", " &quot;o&quot;: function(x) { return Math.round(x).toString(8); },\n", " &quot;p&quot;: function(x, p) { return formatRounded(x * 100, p); },\n", " &quot;r&quot;: formatRounded,\n", " &quot;s&quot;: formatPrefixAuto,\n", " &quot;X&quot;: function(x) { return Math.round(x).toString(16).toUpperCase(); },\n", " &quot;x&quot;: function(x) { return Math.round(x).toString(16); }\n", " };\n", "\n", " function identity(x) {\n", " return x;\n", " }\n", "\n", " var map = Array.prototype.map,\n", " prefixes = [&quot;y&quot;,&quot;z&quot;,&quot;a&quot;,&quot;f&quot;,&quot;p&quot;,&quot;n&quot;,&quot;µ&quot;,&quot;m&quot;,&quot;&quot;,&quot;k&quot;,&quot;M&quot;,&quot;G&quot;,&quot;T&quot;,&quot;P&quot;,&quot;E&quot;,&quot;Z&quot;,&quot;Y&quot;];\n", "\n", " function formatLocale(locale) {\n", " var group = locale.grouping === undefined || locale.thousands === undefined ? identity : formatGroup(map.call(locale.grouping, Number), locale.thousands + &quot;&quot;),\n", " currencyPrefix = locale.currency === undefined ? &quot;&quot; : locale.currency[0] + &quot;&quot;,\n", " currencySuffix = locale.currency === undefined ? &quot;&quot; : locale.currency[1] + &quot;&quot;,\n", " decimal = locale.decimal === undefined ? &quot;.&quot; : locale.decimal + &quot;&quot;,\n", " numerals = locale.numerals === undefined ? identity : formatNumerals(map.call(locale.numerals, String)),\n", " percent = locale.percent === undefined ? &quot;%&quot; : locale.percent + &quot;&quot;,\n", " minus = locale.minus === undefined ? &quot;-&quot; : locale.minus + &quot;&quot;,\n", " nan = locale.nan === undefined ? &quot;NaN&quot; : locale.nan + &quot;&quot;;\n", "\n", " function newFormat(specifier) {\n", " specifier = formatSpecifier(specifier);\n", "\n", " var fill = specifier.fill,\n", " align = specifier.align,\n", " sign = specifier.sign,\n", " symbol = specifier.symbol,\n", " zero = specifier.zero,\n", " width = specifier.width,\n", " comma = specifier.comma,\n", " precision = specifier.precision,\n", " trim = specifier.trim,\n", " type = specifier.type;\n", "\n", " // The &quot;n&quot; type is an alias for &quot;,g&quot;.\n", " if (type === &quot;n&quot;) comma = true, type = &quot;g&quot;;\n", "\n", " // The &quot;&quot; type, and any invalid type, is an alias for &quot;.12~g&quot;.\n", " else if (!formatTypes[type]) precision === undefined && (precision = 12), trim = true, type = &quot;g&quot;;\n", "\n", " // If zero fill is specified, padding goes after sign and before digits.\n", " if (zero || (fill === &quot;0&quot; && align === &quot;=&quot;)) zero = true, fill = &quot;0&quot;, align = &quot;=&quot;;\n", "\n", " // Compute the prefix and suffix.\n", " // For SI-prefix, the suffix is lazily computed.\n", " var prefix = symbol === &quot;$&quot; ? currencyPrefix : symbol === &quot;#&quot; && /[boxX]/.test(type) ? &quot;0&quot; + type.toLowerCase() : &quot;&quot;,\n", " suffix = symbol === &quot;$&quot; ? currencySuffix : /[%p]/.test(type) ? percent : &quot;&quot;;\n", "\n", " // What format function should we use?\n", " // Is this an integer type?\n", " // Can this type generate exponential notation?\n", " var formatType = formatTypes[type],\n", " maybeSuffix = /[defgprs%]/.test(type);\n", "\n", " // Set the default precision if not specified,\n", " // or clamp the specified precision to the supported range.\n", " // For significant precision, it must be in [1, 21].\n", " // For fixed precision, it must be in [0, 20].\n", " precision = precision === undefined ? 6\n", " : /[gprs]/.test(type) ? Math.max(1, Math.min(21, precision))\n", " : Math.max(0, Math.min(20, precision));\n", "\n", " function format(value) {\n", " var valuePrefix = prefix,\n", " valueSuffix = suffix,\n", " i, n, c;\n", "\n", " if (type === &quot;c&quot;) {\n", " valueSuffix = formatType(value) + valueSuffix;\n", " value = &quot;&quot;;\n", " } else {\n", " value = +value;\n", "\n", " // Determine the sign. -0 is not less than 0, but 1 / -0 is!\n", " var valueNegative = value < 0 || 1 / value < 0;\n", "\n", " // Perform the initial formatting.\n", " value = isNaN(value) ? nan : formatType(Math.abs(value), precision);\n", "\n", " // Trim insignificant zeros.\n", " if (trim) value = formatTrim(value);\n", "\n", " // If a negative value rounds to zero after formatting, and no explicit positive sign is requested, hide the sign.\n", " if (valueNegative && +value === 0 && sign !== &quot;+&quot;) valueNegative = false;\n", "\n", " // Compute the prefix and suffix.\n", " valuePrefix = (valueNegative ? (sign === &quot;(&quot; ? sign : minus) : sign === &quot;-&quot; || sign === &quot;(&quot; ? &quot;&quot; : sign) + valuePrefix;\n", " valueSuffix = (type === &quot;s&quot; ? prefixes[8 + prefixExponent / 3] : &quot;&quot;) + valueSuffix + (valueNegative && sign === &quot;(&quot; ? &quot;)&quot; : &quot;&quot;);\n", "\n", " // Break the formatted value into the integer “value” part that can be\n", " // grouped, and fractional or exponential “suffix” part that is not.\n", " if (maybeSuffix) {\n", " i = -1, n = value.length;\n", " while (++i < n) {\n", " if (c = value.charCodeAt(i), 48 > c || c > 57) {\n", " valueSuffix = (c === 46 ? decimal + value.slice(i + 1) : value.slice(i)) + valueSuffix;\n", " value = value.slice(0, i);\n", " break;\n", " }\n", " }\n", " }\n", " }\n", "\n", " // If the fill character is not &quot;0&quot;, grouping is applied before padding.\n", " if (comma && !zero) value = group(value, Infinity);\n", "\n", " // Compute the padding.\n", " var length = valuePrefix.length + value.length + valueSuffix.length,\n", " padding = length < width ? new Array(width - length + 1).join(fill) : &quot;&quot;;\n", "\n", " // If the fill character is &quot;0&quot;, grouping is applied after padding.\n", " if (comma && zero) value = group(padding + value, padding.length ? width - valueSuffix.length : Infinity), padding = &quot;&quot;;\n", "\n", " // Reconstruct the final output based on the desired alignment.\n", " switch (align) {\n", " case &quot;<&quot;: value = valuePrefix + value + valueSuffix + padding; break;\n", " case &quot;=&quot;: value = valuePrefix + padding + value + valueSuffix; break;\n", " case &quot;^&quot;: value = padding.slice(0, length = padding.length >> 1) + valuePrefix + value + valueSuffix + padding.slice(length); break;\n", " default: value = padding + valuePrefix + value + valueSuffix; break;\n", " }\n", "\n", " return numerals(value);\n", " }\n", "\n", " format.toString = function() {\n", " return specifier + &quot;&quot;;\n", " };\n", "\n", " return format;\n", " }\n", "\n", " function formatPrefix(specifier, value) {\n", " var f = newFormat((specifier = formatSpecifier(specifier), specifier.type = &quot;f&quot;, specifier)),\n", " e = Math.max(-8, Math.min(8, Math.floor(exponent(value) / 3))) * 3,\n", " k = Math.pow(10, -e),\n", " prefix = prefixes[8 + e / 3];\n", " return function(value) {\n", " return f(k * value) + prefix;\n", " };\n", " }\n", "\n", " return {\n", " format: newFormat,\n", " formatPrefix: formatPrefix\n", " };\n", " }\n", "\n", " var locale;\n", " var format;\n", " var formatPrefix;\n", "\n", " defaultLocale({\n", " decimal: &quot;.&quot;,\n", " thousands: &quot;,&quot;,\n", " grouping: [3],\n", " currency: [&quot;$&quot;, &quot;&quot;],\n", " minus: &quot;-&quot;\n", " });\n", "\n", " function defaultLocale(definition) {\n", " locale = formatLocale(definition);\n", " format = locale.format;\n", " formatPrefix = locale.formatPrefix;\n", " return locale;\n", " }\n", "\n", " function formatter(value, specifier) {\n", " const formatFunc = specifier ? format(specifier) : formatValue;\n", "\n", " if (Array.isArray(value)) {\n", " const [first, second] = value;\n", " if (first === -Infinity) {\n", " return `< ${formatFunc(second)}`;\n", " }\n", " if (second === Infinity) {\n", " return `> ${formatFunc(first)}`;\n", " }\n", " return `${formatFunc(first)} - ${formatFunc(second)}`;\n", " }\n", " return formatFunc(value);\n", " }\n", "\n", " function formatValue(value) {\n", " if (typeof value === 'number') {\n", " return formatNumber(value);\n", " }\n", " return value;\n", " }\n", "\n", " function formatNumber(value) {\n", " if (!Number.isInteger(value)) {\n", " return value.toLocaleString(undefined, {\n", " minimumFractionDigits: 2,\n", " maximumFractionDigits: 3\n", " });\n", " }\n", " return value.toLocaleString();\n", " }\n", "\n", " function updateViewport(id, map) {\n", " function updateMapInfo() {\n", " const mapInfo$ = document.getElementById(id);\n", " const center = map.getCenter();\n", " const lat = center.lat.toFixed(6);\n", " const lng = center.lng.toFixed(6);\n", " const zoom = map.getZoom().toFixed(2);\n", "\n", " mapInfo$.innerText = `viewport={'zoom': ${zoom}, 'lat': ${lat}, 'lng': ${lng}}`;\n", " }\n", "\n", " updateMapInfo();\n", "\n", " map.on('zoom', updateMapInfo);\n", " map.on('move', updateMapInfo);\n", " }\n", "\n", " function getBasecolorSettings(basecolor) {\n", " return {\n", " 'version': 8,\n", " 'sources': {},\n", " 'layers': [{\n", " 'id': 'background',\n", " 'type': 'background',\n", " 'paint': {\n", " 'background-color': basecolor\n", " }\n", " }]\n", " };\n", " }\n", "\n", " function getImageElement(mapIndex) {\n", " const id = mapIndex !== undefined ? `map-image-${mapIndex}` : 'map-image';\n", " return document.getElementById(id);\n", " }\n", "\n", " function getContainerElement(mapIndex) {\n", " const id = mapIndex !== undefined ? `main-container-${mapIndex}` : 'main-container';\n", " return document.getElementById(id);\n", " }\n", "\n", " function saveImage(mapIndex) {\n", " const img = getImageElement(mapIndex);\n", " const container = getContainerElement(mapIndex);\n", "\n", " html2canvas(container)\n", " .then((canvas) => setMapImage(canvas, img, container));\n", " }\n", "\n", " function setMapImage(canvas, img, container) {\n", " const src = canvas.toDataURL();\n", " img.setAttribute('src', src);\n", " img.style.display = 'block';\n", " container.style.display = 'none';\n", " }\n", "\n", " function resetPopupClick(interactivity) {\n", " interactivity.off('featureClick');\n", " }\n", "\n", " function resetPopupHover(interactivity) {\n", " interactivity.off('featureHover');\n", " }\n", "\n", " function setPopupsClick(map, clickPopup, hoverPopup, interactivity, attrs) {\n", " interactivity.on('featureClick', (event) => {\n", " updatePopup(map, clickPopup, event, attrs);\n", " hoverPopup.remove();\n", " });\n", " }\n", "\n", " function setPopupsHover(map, hoverPopup, interactivity, attrs) {\n", " interactivity.on('featureHover', (event) => {\n", " updatePopup(map, hoverPopup, event, attrs);\n", " });\n", " }\n", "\n", " function updatePopup(map, popup, event, attrs) {\n", " if (event.features.length > 0) {\n", " let popupHTML = '';\n", " const layerIDs = [];\n", "\n", " for (const feature of event.features) {\n", " if (layerIDs.includes(feature.layerId)) {\n", " continue;\n", " }\n", " // Track layers to add only one feature per layer\n", " layerIDs.push(feature.layerId);\n", "\n", " for (const item of attrs) {\n", " const variable = feature.variables[item.name];\n", " if (variable) {\n", " let value = variable.value;\n", " value = formatter(value, item.format);\n", "\n", " popupHTML = `\n", " <span class=&quot;popup-name&quot;>${item.title}</span>\n", " <span class=&quot;popup-value&quot;>${value}</span>\n", " ` + popupHTML;\n", " }\n", " }\n", " }\n", "\n", " if (popupHTML) {\n", " popup\n", " .setLngLat([event.coordinates.lng, event.coordinates.lat])\n", " .setHTML(`<div class=&quot;popup-content&quot;>${popupHTML}</div>`);\n", "\n", " if (!popup.isOpen()) {\n", " popup.addTo(map);\n", " }\n", " } else {\n", " popup.remove();\n", " }\n", " } else {\n", " popup.remove();\n", " }\n", " }\n", "\n", " function setInteractivity(map, interactiveLayers, interactiveMapLayers) {\n", " const interactivity = new carto.Interactivity(interactiveMapLayers);\n", "\n", " const clickPopup = new mapboxgl.Popup({\n", " closeButton: true,\n", " closeOnClick: false\n", " });\n", "\n", " const hoverPopup = new mapboxgl.Popup({\n", " closeButton: false,\n", " closeOnClick: false\n", " });\n", "\n", " const { clickAttrs, hoverAttrs } = _setInteractivityAttrs(interactiveLayers);\n", "\n", " resetPopupClick(map);\n", " resetPopupHover(map);\n", "\n", " if (clickAttrs.length > 0) {\n", " setPopupsClick(map, clickPopup, hoverPopup, interactivity, clickAttrs);\n", " }\n", "\n", " if (hoverAttrs.length > 0) {\n", " setPopupsHover(map, hoverPopup, interactivity, hoverAttrs);\n", " }\n", " }\n", "\n", " function _setInteractivityAttrs(interactiveLayers) {\n", " let clickAttrs = [];\n", " let hoverAttrs = [];\n", "\n", " interactiveLayers.forEach((interactiveLayer) => {\n", " interactiveLayer.interactivity.forEach((interactivityDef) => {\n", " if (interactivityDef.event === 'click') {\n", " clickAttrs = clickAttrs.concat(interactivityDef.attrs);\n", " } else if (interactivityDef.event === 'hover') {\n", " hoverAttrs = hoverAttrs.concat(interactivityDef.attrs);\n", " }\n", " });\n", " });\n", "\n", " return { clickAttrs, hoverAttrs };\n", " }\n", "\n", " function renderWidget(widget, value) {\n", " widget.element = widget.element || document.querySelector(`#${widget.id}-value`);\n", "\n", " if (value && widget.element) {\n", " widget.element.innerText = typeof value === 'number' ? formatter(value, widget.options.format) : value;\n", " }\n", " }\n", "\n", " function renderBridge(bridge, widget, mapLayer) {\n", " widget.element = widget.element || document.querySelector(`#${widget.id}`);\n", "\n", " switch (widget.type) {\n", " case 'histogram':\n", " const type = _getWidgetType(mapLayer, widget.value, widget.prop);\n", " const histogram = type === 'category' ? 'categoricalHistogram' : 'numericalHistogram';\n", " bridge[histogram](widget.element, widget.value, widget.options);\n", " break;\n", " case 'category':\n", " bridge.category(widget.element, widget.value, widget.options);\n", " break;\n", " case 'animation':\n", " widget.options.propertyName = widget.prop;\n", " bridge.animationControls(widget.element, widget.value, widget.options);\n", " break;\n", " case 'time-series':\n", " widget.options.propertyName = widget.prop;\n", " bridge.timeSeries(widget.element, widget.value, widget.options);\n", " break;\n", " }\n", " }\n", "\n", " function bridgeLayerWidgets(map, mapLayer, mapSource, widgets) {\n", " const bridge = new AsBridge.VL.Bridge({\n", " carto: carto,\n", " layer: mapLayer,\n", " source: mapSource,\n", " map: map\n", " });\n", "\n", " widgets\n", " .filter((widget) => widget.has_bridge)\n", " .forEach((widget) => renderBridge(bridge, widget, mapLayer));\n", "\n", " bridge.build();\n", " }\n", "\n", " function _getWidgetType(layer, property, value) {\n", " return layer.metadata && layer.metadata.properties[value] ?\n", " layer.metadata.properties[value].type\n", " : _getWidgetPropertyType(layer, property);\n", " }\n", "\n", " function _getWidgetPropertyType(layer, property) {\n", " return layer.metadata && layer.metadata.properties[property] ?\n", " layer.metadata.properties[property].type\n", " : null;\n", " }\n", "\n", " function createLegends(layer, legends, layerIndex, mapIndex=0) {\n", " if (legends.length) {\n", " legends.forEach((legend, legendIndex) => _createLegend(layer, legend, layerIndex, legendIndex, mapIndex));\n", " } else {\n", " _createLegend(layer, legends, layerIndex, 0, mapIndex);\n", " }\n", " }\n", "\n", " function _createLegend(layer, legend, layerIndex, legendIndex, mapIndex=0) {\n", " const element = document.querySelector(`#layer${layerIndex}_map${mapIndex}_legend${legendIndex}`);\n", "\n", " if (legend.prop) {\n", " const othersLabel = 'Others'; // TODO: i18n\n", " const prop = legend.prop;\n", " const dynamic = legend.dynamic;\n", " const order = legend.ascending ? 'ASC' : 'DESC';\n", " const variable = legend.variable;\n", " const config = { othersLabel, variable, order };\n", " const formatFunc = (value) => formatter(value, legend.format);\n", " const options = { format: formatFunc, config, dynamic };\n", "\n", " if (legend.type.startsWith('size-continuous')) {\n", " config.samples = 4;\n", " }\n", "\n", " AsBridge.VL.Legends.rampLegend(element, layer, prop, options);\n", " }\n", " }\n", "\n", " function SourceFactory() {\n", " const sourceTypes = { GeoJSON, Query, MVT };\n", "\n", " this.createSource = (layer) => {\n", " return sourceTypes[layer.type](layer);\n", " };\n", " }\n", "\n", " function GeoJSON(layer) {\n", " const options = JSON.parse(JSON.stringify(layer.options));\n", " const data = _decodeJSONData(layer.data, layer.encode_data);\n", "\n", " return new carto.source.GeoJSON(data, options);\n", " }\n", "\n", " function Query(layer) {\n", " const auth = {\n", " username: layer.credentials.username,\n", " apiKey: layer.credentials.api_key || 'default_public'\n", " };\n", "\n", " const config = {\n", " serverURL: layer.credentials.base_url || `https://${layer.credentials.username}.carto.com/`\n", " };\n", "\n", " return new carto.source.SQL(layer.data, auth, config);\n", " }\n", "\n", " function MVT(layer) {\n", " return new carto.source.MVT(layer.data.file, JSON.parse(layer.data.metadata));\n", " }\n", "\n", " function _decodeJSONData(data, encodeData) {\n", " try {\n", " if (encodeData) {\n", " const decodedJSON = pako.inflate(atob(data), { to: 'string' });\n", " return JSON.parse(decodedJSON);\n", " } else {\n", " return JSON.parse(data);\n", " }\n", " } catch(error) {\n", " throw new Error(`\n", " Error: &quot;${error}&quot;. CARTOframes is not able to parse your local data because it is too large.\n", " Please, disable the data compresion with encode_data=False in your Layer class.\n", " `);\n", " }\n", " }\n", "\n", " const factory = new SourceFactory();\n", "\n", " function initMapLayer(layer, layerIndex, numLayers, hasLegends, map, mapIndex) {\n", " const mapSource = factory.createSource(layer);\n", " const mapViz = new carto.Viz(layer.viz);\n", " const mapLayer = new carto.Layer(`layer${layerIndex}`, mapSource, mapViz);\n", " const mapLayerIndex = numLayers - layerIndex - 1;\n", "\n", " try {\n", " mapLayer._updateLayer.catch(displayError);\n", " } catch (e) {\n", " throw e;\n", " }\n", "\n", " mapLayer.addTo(map);\n", "\n", " setLayerLegend(layer, mapLayerIndex, mapLayer, mapIndex, hasLegends);\n", " setLayerWidgets(map, layer, mapLayer, mapLayerIndex, mapSource);\n", "\n", " return mapLayer;\n", " }\n", "\n", " function getInteractiveLayers(layers, mapLayers) {\n", " const interactiveLayers = [];\n", " const interactiveMapLayers = [];\n", "\n", " layers.forEach((layer, index) => {\n", " if (layer.interactivity) {\n", " interactiveLayers.push(layer);\n", " interactiveMapLayers.push(mapLayers[index]);\n", " }\n", " });\n", "\n", " return { interactiveLayers, interactiveMapLayers };\n", " }\n", "\n", " function setLayerLegend(layer, mapLayerIndex, mapLayer, mapIndex, hasLegends) {\n", " if (hasLegends && layer.legends) {\n", " createLegends(mapLayer, layer.legends, mapLayerIndex, mapIndex);\n", " }\n", " }\n", "\n", " function setLayerWidgets(map, layer, mapLayer, mapLayerIndex, mapSource) {\n", " if (layer.widgets.length) {\n", " initLayerWidgets(layer.widgets, mapLayerIndex);\n", " updateLayerWidgets(layer.widgets, mapLayer);\n", " bridgeLayerWidgets(map, mapLayer, mapSource, layer.widgets);\n", " }\n", " }\n", "\n", " function initLayerWidgets(widgets, mapLayerIndex) {\n", " widgets.forEach((widget, widgetIndex) => {\n", " const id = `layer${mapLayerIndex}_widget${widgetIndex}`;\n", " widget.id = id;\n", " });\n", " }\n", "\n", " function updateLayerWidgets(widgets, mapLayer) {\n", " mapLayer.on('updated', () => renderLayerWidgets(widgets, mapLayer));\n", " }\n", "\n", " function renderLayerWidgets(widgets, mapLayer) {\n", " const variables = mapLayer.viz.variables;\n", "\n", " widgets\n", " .filter((widget) => !widget.has_bridge)\n", " .forEach((widget) => {\n", " const name = widget.variable_name;\n", " const value = getWidgetValue(name, variables);\n", " renderWidget(widget, value);\n", " });\n", " }\n", "\n", " function getWidgetValue(name, variables) {\n", " return name && variables[name] ? variables[name].value : null;\n", " }\n", "\n", " function setReady(settings) {\n", " try {\n", " return settings.maps ? initMaps(settings.maps) : initMap(settings);\n", " } catch (e) {\n", " displayError(e);\n", " }\n", " }\n", "\n", " function initMaps(maps) {\n", " return maps.map((mapSettings, mapIndex) => {\n", " return initMap(mapSettings, mapIndex);\n", " });\n", " }\n", "\n", " function initMap(settings, mapIndex) {\n", " const basecolor = getBasecolorSettings(settings.basecolor);\n", " const basemapStyle = BASEMAPS[settings.basemap] || settings.basemap || basecolor;\n", " const container = mapIndex !== undefined ? `map-${mapIndex}` : 'map';\n", " const map = createMap(container, basemapStyle, settings.bounds, settings.mapboxtoken);\n", "\n", " if (settings.show_info) {\n", " const id = mapIndex !== undefined ? `map-info-${mapIndex}` : 'map-info';\n", " updateViewport(id, map);\n", " }\n", "\n", " if (settings.camera) {\n", " map.flyTo(settings.camera);\n", " }\n", "\n", " return initLayers(map, settings, mapIndex);\n", " }\n", "\n", " function initLayers(map, settings, mapIndex) {\n", " const numLayers = settings.layers.length;\n", " const hasLegends = settings.has_legends;\n", " const isStatic = settings.is_static;\n", " const layers = settings.layers;\n", " const mapLayers = getMapLayers(\n", " layers,\n", " numLayers,\n", " hasLegends,\n", " map,\n", " mapIndex\n", " );\n", "\n", " if (settings.layer_selector) {\n", " addLayersSelector(layers.reverse(), mapLayers.reverse(), mapIndex);\n", " }\n", "\n", " setInteractiveLayers(map, layers, mapLayers);\n", "\n", " return waitForMapLayersLoad(isStatic, mapIndex, mapLayers);\n", " }\n", "\n", " function waitForMapLayersLoad(isStatic, mapIndex, mapLayers) {\n", " return new Promise((resolve) => {\n", " carto.on('loaded', mapLayers, onMapLayersLoaded.bind(\n", " this, isStatic, mapIndex, mapLayers, resolve)\n", " );\n", " });\n", " }\n", "\n", " function onMapLayersLoaded(isStatic, mapIndex, mapLayers, resolve) {\n", " if (isStatic) {\n", " saveImage(mapIndex);\n", " }\n", "\n", " resolve(mapLayers);\n", " }\n", "\n", " function getMapLayers(layers, numLayers, hasLegends, map, mapIndex) {\n", " return layers.map((layer, layerIndex) => {\n", " return initMapLayer(layer, layerIndex, numLayers, hasLegends, map, mapIndex);\n", " });\n", " }\n", "\n", " function setInteractiveLayers(map, layers, mapLayers) {\n", " const { interactiveLayers, interactiveMapLayers } = getInteractiveLayers(layers, mapLayers);\n", "\n", " if (interactiveLayers && interactiveLayers.length > 0) {\n", " setInteractivity(map, interactiveLayers, interactiveMapLayers);\n", " }\n", " }\n", "\n", " function addLayersSelector(layers, mapLayers, mapIndex) {\n", " const layerSelectorId = mapIndex !== undefined ? `#layer-selector-${mapIndex}` : '#layer-selector';\n", " const layerSelector$ = document.querySelector(layerSelectorId);\n", " const layersInfo = mapLayers.map((layer, index) => {\n", " return {\n", " title: layers[index].title || `Layer ${index}`,\n", " id: layer.id,\n", " checked: true\n", " };\n", " });\n", "\n", " const layerSelector = new AsBridge.VL.Layers(layerSelector$, carto, layersInfo, mapLayers);\n", "\n", " layerSelector.build();\n", " }\n", "\n", " function createMap(container, basemapStyle, bounds, accessToken) {\n", " const map = createMapboxGLMap(container, basemapStyle, accessToken);\n", "\n", " map.addControl(attributionControl);\n", " map.fitBounds(bounds, FIT_BOUNDS_SETTINGS);\n", "\n", " return map;\n", " }\n", "\n", " function createMapboxGLMap(container, style, accessToken) {\n", " if (accessToken) {\n", " mapboxgl.accessToken = accessToken;\n", " }\n", "\n", " return new mapboxgl.Map({\n", " container,\n", " style,\n", " zoom: 9,\n", " dragRotate: false,\n", " attributionControl: false\n", " });\n", " }\n", "\n", " function init(settings) {\n", " setReady(settings);\n", " }\n", "\n", " return init;\n", "\n", "}());\n", "</script>\n", "<script>\n", " document\n", " .querySelector('as-responsive-content')\n", " .addEventListener('ready', () => {\n", " const basecolor = '';\n", " const basemap = 'Positron';\n", " const bounds = [[-180, -90], [180, 83.64513000000001]];\n", " const camera = null;\n", " const has_legends = 'False' === 'true';\n", " const is_static = 'None' === 'true';\n", " const layer_selector = 'False' === 'true';\n", " const layers = [{&quot;credentials&quot;: {&quot;api_key&quot;: &quot;default_public&quot;, &quot;base_url&quot;: &quot;https://cartoframes.carto.com&quot;, &quot;username&quot;: &quot;cartoframes&quot;}, &quot;data&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;countries\\&quot;&quot;, &quot;encode_data&quot;: true, &quot;has_legend_list&quot;: true, &quot;interactivity&quot;: [], &quot;legends&quot;: [], &quot;map_index&quot;: 0, &quot;options&quot;: {}, &quot;source&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;countries\\&quot;&quot;, &quot;title&quot;: null, &quot;type&quot;: &quot;Query&quot;, &quot;viz&quot;: &quot;color: hex(\\&quot;#826DBA\\&quot;)\\nstrokeColor: opacity(#2c2c2c,ramp(linear(zoom(),2,18),[0.2,0.6]))\\nstrokeWidth: ramp(linear(zoom(),2,18),[0.5,1])\\n&quot;, &quot;widgets&quot;: []}, {&quot;credentials&quot;: {&quot;api_key&quot;: &quot;default_public&quot;, &quot;base_url&quot;: &quot;https://cartoframes.carto.com&quot;, &quot;username&quot;: &quot;cartoframes&quot;}, &quot;data&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;global_power_plants\\&quot;&quot;, &quot;encode_data&quot;: true, &quot;has_legend_list&quot;: true, &quot;interactivity&quot;: [], &quot;legends&quot;: [], &quot;map_index&quot;: 0, &quot;options&quot;: {}, &quot;source&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;global_power_plants\\&quot;&quot;, &quot;title&quot;: null, &quot;type&quot;: &quot;Query&quot;, &quot;viz&quot;: &quot;color: hex(\\&quot;#EE4D5A\\&quot;)\\nstrokeColor: opacity(#222,ramp(linear(zoom(),0,18),[0,0.6]))\\nstrokeWidth: ramp(linear(zoom(),0,18),[0,1])\\nwidth: ramp(linear(zoom(),0,18),[2,10])\\n&quot;, &quot;widgets&quot;: []}, {&quot;credentials&quot;: {&quot;api_key&quot;: &quot;default_public&quot;, &quot;base_url&quot;: &quot;https://cartoframes.carto.com&quot;, &quot;username&quot;: &quot;cartoframes&quot;}, &quot;data&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;world_rivers\\&quot;&quot;, &quot;encode_data&quot;: true, &quot;has_legend_list&quot;: true, &quot;interactivity&quot;: [], &quot;legends&quot;: [], &quot;map_index&quot;: 0, &quot;options&quot;: {}, &quot;source&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;world_rivers\\&quot;&quot;, &quot;title&quot;: null, &quot;type&quot;: &quot;Query&quot;, &quot;viz&quot;: &quot;color: hex(\\&quot;#4CC8A3\\&quot;)\\nwidth: ramp(linear(zoom(),0,18),[0.5,4])\\n&quot;, &quot;widgets&quot;: []}];\n", " const mapboxtoken = '';\n", " const show_info = 'None' === 'true';\n", "\n", " init({\n", " basecolor,\n", " basemap,\n", " bounds,\n", " camera,\n", " has_legends,\n", " is_static,\n", " layer_selector,\n", " layers,\n", " mapboxtoken,\n", " show_info\n", " });\n", "});\n", "</script>\n", "</html>\n", "\">\n", "\n", "</iframe>" ], "text/plain": [ "<cartoframes.viz.map.Map at 0x7ffb51c50ee0>" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "Map([\n", " Layer('countries'),\n", " Layer('global_power_plants'),\n", " Layer('world_rivers')\n", "])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Using default legends" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<iframe\n", " frameborder=\"0\"\n", " style=\"\n", " border: 1px solid #cfcfcf;\n", " width: 100%;\n", " height: 632px;\n", " \"\n", " srcDoc=\"\n", " <!DOCTYPE html>\n", "<html lang=&quot;en&quot;>\n", "<head>\n", " <title>None</title>\n", " <meta name=&quot;description&quot; content=&quot;None&quot;>\n", " <meta name=&quot;viewport&quot; content=&quot;width=device-width, initial-scale=1.0&quot;>\n", " <meta charset=&quot;UTF-8&quot;>\n", " <!-- Include CARTO VL JS -->\n", " <script src=&quot;https://libs.cartocdn.com/carto-vl/v1.4/carto-vl.min.js&quot;></script>\n", " <!-- Include Mapbox GL JS -->\n", " <script src=&quot;https://api.tiles.mapbox.com/mapbox-gl-js/v1.0.0/mapbox-gl.js&quot;></script>\n", " <!-- Include Mapbox GL CSS -->\n", " <link href=&quot;https://api.tiles.mapbox.com/mapbox-gl-js/v1.0.0/mapbox-gl.css&quot; rel=&quot;stylesheet&quot; />\n", "\n", " <!-- Include Airship -->\n", " <script nomodule=&quot;&quot; src=&quot;https://libs.cartocdn.com/airship-components/v2.3/airship.js&quot;></script>\n", " <script type=&quot;module&quot; src=&quot;https://libs.cartocdn.com/airship-components/v2.3/airship/airship.esm.js&quot;></script>\n", " <script src=&quot;https://libs.cartocdn.com/airship-bridge/v2.3/asbridge.min.js&quot;></script>\n", " <link href=&quot;https://libs.cartocdn.com/airship-style/v2.3/airship.min.css&quot; rel=&quot;stylesheet&quot;>\n", " <link href=&quot;https://libs.cartocdn.com/airship-icons/v2.3/icons.css&quot; rel=&quot;stylesheet&quot;>\n", "\n", " <link href=&quot;https://fonts.googleapis.com/css?family=Roboto&quot; rel=&quot;stylesheet&quot; type=&quot;text/css&quot;>\n", "\n", " <!-- External libraries -->\n", "\n", " <!-- pako -->\n", " <script src=&quot;https://libs.cartocdn.com/cartoframes/dependencies/pako_inflate.min.js&quot;></script>\n", " \n", " <!-- html2canvas -->\n", " \n", "\n", " \n", " <style>\n", " body {\n", " margin: 0;\n", " padding: 0;\n", " }\n", "\n", " aside.as-sidebar {\n", " min-width: 300px;\n", " }\n", "\n", " .map-image {\n", " display: none;\n", " max-width: 100%;\n", " height: auto;\n", " }\n", "\n", " as-layer-selector-slot .as-layer-selector-slot--wrapper .as-caption { // FIXME\n", " font-size: 14px;\n", " line-height: 14px;\n", " }\n", "</style>\n", " <style>\n", " .map {\n", " position: absolute;\n", " height: 100%;\n", " width: 100%;\n", " }\n", "\n", " .map-info {\n", " position: absolute;\n", " bottom: 0;\n", " padding: 0 5px;\n", " background-color: rgba(255, 255, 255, 0.5);\n", " margin: 0;\n", " color: rgba(0, 0, 0, 0.75);\n", " font-size: 12px;\n", " width: auto;\n", " height: 18px;\n", " font-family: 'Open Sans';\n", " }\n", "\n", " .map-footer {\n", " background: #F2F6F9;\n", " font-family: Roboto;\n", " font-size: 12px;\n", " line-height: 24px;\n", " color: #162945;\n", " text-align: center;\n", " z-index: 2;\n", " }\n", "\n", " .map-footer a {\n", " text-decoration: none;\n", " }\n", "\n", " .map-footer a:hover {\n", " text-decoration: underline;\n", " }\n", "</style>\n", " <style>\n", " #error-container {\n", " position: absolute;\n", " width: 100%;\n", " height: 100%;\n", " background-color: white;\n", " visibility: hidden;\n", " padding: 1em;\n", " font-family: &quot;Courier New&quot;, Courier, monospace;\n", " margin: 0 auto;\n", " font-size: 14px;\n", " overflow: auto;\n", " z-index: 1000;\n", " color: black;\n", " }\n", "\n", " .error-section {\n", " padding: 1em;\n", " border-radius: 5px;\n", " background-color: #fee;\n", " }\n", "\n", " #error-container #error-highlight {\n", " font-weight: bold;\n", " color: inherit;\n", " }\n", "\n", " #error-container #error-type {\n", " color: #008000;\n", " }\n", "\n", " #error-container #error-name {\n", " color: #ba2121;\n", " }\n", "\n", " #error-container #error-content {\n", " margin-top: 0.4em;\n", " }\n", "\n", " .error-details {\n", " margin-top: 1em;\n", " }\n", "\n", " #error-stacktrace {\n", " list-style: none;\n", " }\n", "</style>\n", " <style>\n", " .popup-content {\n", " display: flex;\n", " flex-direction: column;\n", " padding: 8px;\n", " }\n", "\n", " .popup-name {\n", " font-size: 12px;\n", " font-weight: 400;\n", " line-height: 20px;\n", " margin-bottom: 4px;\n", " }\n", "\n", " .popup-value {\n", " font-size: 16px;\n", " font-weight: 600;\n", " line-height: 20px;\n", " }\n", "\n", " .popup-value:not(:last-of-type) {\n", " margin-bottom: 16px;\n", " }\n", "</style>\n", " <style>\n", " as-widget-header .as-widget-header__header {\n", " margin-bottom: 8px;\n", " overflow-wrap: break-word;\n", " }\n", "\n", " as-widget-header .as-widget-header__subheader {\n", " margin-bottom: 12px;\n", " }\n", "\n", " as-category-widget {\n", " max-height: 250px;\n", " }\n", "</style>\n", "</head>\n", "\n", "<body class=&quot;as-app-body as-app&quot;>\n", " <img id=&quot;map-image&quot; class=&quot;map-image&quot; alt='Static map image' />\n", " <as-responsive-content id=&quot;main-container&quot;>\n", " \n", " <main class=&quot;as-main&quot;>\n", " <div class=&quot;as-map-area&quot;>\n", " <div id=&quot;map&quot; class=&quot;map&quot;></div>\n", " \n", " \n", " <div class=&quot;as-map-panels&quot; data-name=&quot;Legends&quot;>\n", " <div class=&quot;as-panel as-panel--vertical as-panel--left as-panel--top&quot;>\n", " \n", "\n", "<div class=&quot;as-panel__element&quot; id=&quot;legends&quot;>\n", " <as-layer-selector id=&quot;layer-selector&quot;>\n", " \n", " \n", " \n", " \n", " <div slot=&quot;as-checkbox-layer-0-slot&quot;>\n", " \n", " \n", " <as-legend\n", " heading=&quot;World Rivers&quot;\n", " description=&quot;&quot;>\n", " <as-legend-default id=&quot;layer0_map0_legend0&quot; slot=&quot;legends&quot;></as-legend-default>\n", " \n", " </as-legend>\n", " \n", " \n", " </div>\n", " \n", " \n", " \n", " \n", " \n", " <div slot=&quot;as-checkbox-layer-1-slot&quot;>\n", " \n", " \n", " <as-legend\n", " heading=&quot;Global Power Plants&quot;\n", " description=&quot;&quot;>\n", " <as-legend-default id=&quot;layer1_map0_legend0&quot; slot=&quot;legends&quot;></as-legend-default>\n", " \n", " </as-legend>\n", " \n", " \n", " </div>\n", " \n", " \n", " \n", " \n", " \n", " <div slot=&quot;as-checkbox-layer-2-slot&quot;>\n", " \n", " \n", " <as-legend\n", " heading=&quot;Countries&quot;\n", " description=&quot;&quot;>\n", " <as-legend-default id=&quot;layer2_map0_legend0&quot; slot=&quot;legends&quot;></as-legend-default>\n", " \n", " </as-legend>\n", " \n", " \n", " </div>\n", " \n", " \n", " </as-layer-selector>\n", "</div>\n", " </div> <!-- as-panel -->\n", " </div> <!-- as-map-panels -->\n", " \n", " </div> <!-- as-map-area -->\n", " </main> <!-- as-main -->\n", " </as-responsive-content>\n", "\n", " \n", "\n", " <div id=&quot;error-container&quot; class=&quot;error&quot;>\n", " <section class=&quot;error-section&quot;>\n", " <span class=&quot;errors&quot; id=&quot;error-name&quot;></span>:\n", " <section id=&quot;error-content&quot;>\n", " <span class=&quot;errors&quot; id=&quot;error-type&quot;></span>\n", " <span class=&quot;errors&quot; id=&quot;error-message&quot;></span>\n", " </section>\n", " </section>\n", "\n", " <details class=&quot;error-details&quot;>\n", " <summary>StackTrace</summary>\n", " <ul id=&quot;error-stacktrace&quot;></ul>\n", " </details>\n", "</div>\n", "</body>\n", "\n", "<script>\n", " var init = (function () {\n", " 'use strict';\n", "\n", " const BASEMAPS = {\n", " DarkMatter: carto.basemaps.darkmatter,\n", " Voyager: carto.basemaps.voyager,\n", " Positron: carto.basemaps.positron\n", " };\n", "\n", " const attributionControl = new mapboxgl.AttributionControl({\n", " compact: false\n", " });\n", "\n", " const FIT_BOUNDS_SETTINGS = { animate: false, padding: 50, maxZoom: 16 };\n", "\n", " /** From https://github.com/errwischt/stacktrace-parser/blob/master/src/stack-trace-parser.js */\n", "\n", " /**\n", " * This parses the different stack traces and puts them into one format\n", " * This borrows heavily from TraceKit (https://github.com/csnover/TraceKit)\n", " */\n", "\n", " const UNKNOWN_FUNCTION = '<unknown>';\n", " const chromeRe = /^\\s*at (.*?) ?\\(((?:file|https?|blob|chrome-extension|native|eval|webpack|<anonymous>|\\/).*?)(?::(\\d+))?(?::(\\d+))?\\)?\\s*$/i;\n", " const chromeEvalRe = /\\((\\S*)(?::(\\d+))(?::(\\d+))\\)/;\n", " const winjsRe = /^\\s*at (?:((?:\\[object object\\])?.+) )?\\(?((?:file|ms-appx|https?|webpack|blob):.*?):(\\d+)(?::(\\d+))?\\)?\\s*$/i;\n", " const geckoRe = /^\\s*(.*?)(?:\\((.*?)\\))?(?:^|@)((?:file|https?|blob|chrome|webpack|resource|\\[native).*?|[^@]*bundle)(?::(\\d+))?(?::(\\d+))?\\s*$/i;\n", " const geckoEvalRe = /(\\S+) line (\\d+)(?: > eval line \\d+)* > eval/i;\n", "\n", " function parse(stackString) {\n", " const lines = stackString.split('\\n');\n", "\n", " return lines.reduce((stack, line) => {\n", " const parseResult =\n", " parseChrome(line) ||\n", " parseWinjs(line) ||\n", " parseGecko(line);\n", "\n", " if (parseResult) {\n", " stack.push(parseResult);\n", " }\n", "\n", " return stack;\n", " }, []);\n", " }\n", "\n", " function parseChrome(line) {\n", " const parts = chromeRe.exec(line);\n", "\n", " if (!parts) {\n", " return null;\n", " }\n", "\n", " const isNative = parts[2] && parts[2].indexOf('native') === 0; // start of line\n", " const isEval = parts[2] && parts[2].indexOf('eval') === 0; // start of line\n", "\n", " const submatch = chromeEvalRe.exec(parts[2]);\n", " if (isEval && submatch != null) {\n", " // throw out eval line/column and use top-most line/column number\n", " parts[2] = submatch[1]; // url\n", " parts[3] = submatch[2]; // line\n", " parts[4] = submatch[3]; // column\n", " }\n", "\n", " return {\n", " file: !isNative ? parts[2] : null,\n", " methodName: parts[1] || UNKNOWN_FUNCTION,\n", " arguments: isNative ? [parts[2]] : [],\n", " lineNumber: parts[3] ? +parts[3] : null,\n", " column: parts[4] ? +parts[4] : null,\n", " };\n", " }\n", "\n", " function parseWinjs(line) {\n", " const parts = winjsRe.exec(line);\n", "\n", " if (!parts) {\n", " return null;\n", " }\n", "\n", " return {\n", " file: parts[2],\n", " methodName: parts[1] || UNKNOWN_FUNCTION,\n", " arguments: [],\n", " lineNumber: +parts[3],\n", " column: parts[4] ? +parts[4] : null,\n", " };\n", " }\n", "\n", " function parseGecko(line) {\n", " const parts = geckoRe.exec(line);\n", "\n", " if (!parts) {\n", " return null;\n", " }\n", "\n", " const isEval = parts[3] && parts[3].indexOf(' > eval') > -1;\n", "\n", " const submatch = geckoEvalRe.exec(parts[3]);\n", " if (isEval && submatch != null) {\n", " // throw out eval line/column and use top-most line number\n", " parts[3] = submatch[1];\n", " parts[4] = submatch[2];\n", " parts[5] = null; // no column when eval\n", " }\n", "\n", " return {\n", " file: parts[3],\n", " methodName: parts[1] || UNKNOWN_FUNCTION,\n", " arguments: parts[2] ? parts[2].split(',') : [],\n", " lineNumber: parts[4] ? +parts[4] : null,\n", " column: parts[5] ? +parts[5] : null,\n", " };\n", " }\n", "\n", " function displayError(e) {\n", " const error$ = document.getElementById('error-container');\n", " const errors$ = error$.getElementsByClassName('errors');\n", " const stacktrace$ = document.getElementById('error-stacktrace');\n", "\n", " errors$[0].innerHTML = e.name;\n", " errors$[1].innerHTML = e.type;\n", " errors$[2].innerHTML = e.message.replace(e.type, '');\n", "\n", " error$.style.visibility = 'visible';\n", "\n", " const stack = parse(e.stack);\n", " const list = stack.map(item => {\n", " return `<li>\n", " at <span class=&quot;stacktrace-method&quot;>${item.methodName}:</span>\n", " (${item.file}:${item.lineNumber}:${item.column})\n", " </li>`;\n", " });\n", "\n", " stacktrace$.innerHTML = list.join('\\n');\n", " }\n", "\n", " // Computes the decimal coefficient and exponent of the specified number x with\n", " // significant digits p, where x is positive and p is in [1, 21] or undefined.\n", " // For example, formatDecimal(1.23) returns [&quot;123&quot;, 0].\n", " function formatDecimal(x, p) {\n", " if ((i = (x = p ? x.toExponential(p - 1) : x.toExponential()).indexOf(&quot;e&quot;)) < 0) return null; // NaN, ±Infinity\n", " var i, coefficient = x.slice(0, i);\n", "\n", " // The string returned by toExponential either has the form \\d\\.\\d+e[-+]\\d+\n", " // (e.g., 1.2e+3) or the form \\de[-+]\\d+ (e.g., 1e+3).\n", " return [\n", " coefficient.length > 1 ? coefficient[0] + coefficient.slice(2) : coefficient,\n", " +x.slice(i + 1)\n", " ];\n", " }\n", "\n", " function exponent(x) {\n", " return x = formatDecimal(Math.abs(x)), x ? x[1] : NaN;\n", " }\n", "\n", " function formatGroup(grouping, thousands) {\n", " return function(value, width) {\n", " var i = value.length,\n", " t = [],\n", " j = 0,\n", " g = grouping[0],\n", " length = 0;\n", "\n", " while (i > 0 && g > 0) {\n", " if (length + g + 1 > width) g = Math.max(1, width - length);\n", " t.push(value.substring(i -= g, i + g));\n", " if ((length += g + 1) > width) break;\n", " g = grouping[j = (j + 1) % grouping.length];\n", " }\n", "\n", " return t.reverse().join(thousands);\n", " };\n", " }\n", "\n", " function formatNumerals(numerals) {\n", " return function(value) {\n", " return value.replace(/[0-9]/g, function(i) {\n", " return numerals[+i];\n", " });\n", " };\n", " }\n", "\n", " // [[fill]align][sign][symbol][0][width][,][.precision][~][type]\n", " var re = /^(?:(.)?([<>=^]))?([+\\-( ])?([$#])?(0)?(\\d+)?(,)?(\\.\\d+)?(~)?([a-z%])?$/i;\n", "\n", " function formatSpecifier(specifier) {\n", " if (!(match = re.exec(specifier))) throw new Error(&quot;invalid format: &quot; + specifier);\n", " var match;\n", " return new FormatSpecifier({\n", " fill: match[1],\n", " align: match[2],\n", " sign: match[3],\n", " symbol: match[4],\n", " zero: match[5],\n", " width: match[6],\n", " comma: match[7],\n", " precision: match[8] && match[8].slice(1),\n", " trim: match[9],\n", " type: match[10]\n", " });\n", " }\n", "\n", " formatSpecifier.prototype = FormatSpecifier.prototype; // instanceof\n", "\n", " function FormatSpecifier(specifier) {\n", " this.fill = specifier.fill === undefined ? &quot; &quot; : specifier.fill + &quot;&quot;;\n", " this.align = specifier.align === undefined ? &quot;>&quot; : specifier.align + &quot;&quot;;\n", " this.sign = specifier.sign === undefined ? &quot;-&quot; : specifier.sign + &quot;&quot;;\n", " this.symbol = specifier.symbol === undefined ? &quot;&quot; : specifier.symbol + &quot;&quot;;\n", " this.zero = !!specifier.zero;\n", " this.width = specifier.width === undefined ? undefined : +specifier.width;\n", " this.comma = !!specifier.comma;\n", " this.precision = specifier.precision === undefined ? undefined : +specifier.precision;\n", " this.trim = !!specifier.trim;\n", " this.type = specifier.type === undefined ? &quot;&quot; : specifier.type + &quot;&quot;;\n", " }\n", "\n", " FormatSpecifier.prototype.toString = function() {\n", " return this.fill\n", " + this.align\n", " + this.sign\n", " + this.symbol\n", " + (this.zero ? &quot;0&quot; : &quot;&quot;)\n", " + (this.width === undefined ? &quot;&quot; : Math.max(1, this.width | 0))\n", " + (this.comma ? &quot;,&quot; : &quot;&quot;)\n", " + (this.precision === undefined ? &quot;&quot; : &quot;.&quot; + Math.max(0, this.precision | 0))\n", " + (this.trim ? &quot;~&quot; : &quot;&quot;)\n", " + this.type;\n", " };\n", "\n", " // Trims insignificant zeros, e.g., replaces 1.2000k with 1.2k.\n", " function formatTrim(s) {\n", " out: for (var n = s.length, i = 1, i0 = -1, i1; i < n; ++i) {\n", " switch (s[i]) {\n", " case &quot;.&quot;: i0 = i1 = i; break;\n", " case &quot;0&quot;: if (i0 === 0) i0 = i; i1 = i; break;\n", " default: if (!+s[i]) break out; if (i0 > 0) i0 = 0; break;\n", " }\n", " }\n", " return i0 > 0 ? s.slice(0, i0) + s.slice(i1 + 1) : s;\n", " }\n", "\n", " var prefixExponent;\n", "\n", " function formatPrefixAuto(x, p) {\n", " var d = formatDecimal(x, p);\n", " if (!d) return x + &quot;&quot;;\n", " var coefficient = d[0],\n", " exponent = d[1],\n", " i = exponent - (prefixExponent = Math.max(-8, Math.min(8, Math.floor(exponent / 3))) * 3) + 1,\n", " n = coefficient.length;\n", " return i === n ? coefficient\n", " : i > n ? coefficient + new Array(i - n + 1).join(&quot;0&quot;)\n", " : i > 0 ? coefficient.slice(0, i) + &quot;.&quot; + coefficient.slice(i)\n", " : &quot;0.&quot; + new Array(1 - i).join(&quot;0&quot;) + formatDecimal(x, Math.max(0, p + i - 1))[0]; // less than 1y!\n", " }\n", "\n", " function formatRounded(x, p) {\n", " var d = formatDecimal(x, p);\n", " if (!d) return x + &quot;&quot;;\n", " var coefficient = d[0],\n", " exponent = d[1];\n", " return exponent < 0 ? &quot;0.&quot; + new Array(-exponent).join(&quot;0&quot;) + coefficient\n", " : coefficient.length > exponent + 1 ? coefficient.slice(0, exponent + 1) + &quot;.&quot; + coefficient.slice(exponent + 1)\n", " : coefficient + new Array(exponent - coefficient.length + 2).join(&quot;0&quot;);\n", " }\n", "\n", " var formatTypes = {\n", " &quot;%&quot;: function(x, p) { return (x * 100).toFixed(p); },\n", " &quot;b&quot;: function(x) { return Math.round(x).toString(2); },\n", " &quot;c&quot;: function(x) { return x + &quot;&quot;; },\n", " &quot;d&quot;: function(x) { return Math.round(x).toString(10); },\n", " &quot;e&quot;: function(x, p) { return x.toExponential(p); },\n", " &quot;f&quot;: function(x, p) { return x.toFixed(p); },\n", " &quot;g&quot;: function(x, p) { return x.toPrecision(p); },\n", " &quot;o&quot;: function(x) { return Math.round(x).toString(8); },\n", " &quot;p&quot;: function(x, p) { return formatRounded(x * 100, p); },\n", " &quot;r&quot;: formatRounded,\n", " &quot;s&quot;: formatPrefixAuto,\n", " &quot;X&quot;: function(x) { return Math.round(x).toString(16).toUpperCase(); },\n", " &quot;x&quot;: function(x) { return Math.round(x).toString(16); }\n", " };\n", "\n", " function identity(x) {\n", " return x;\n", " }\n", "\n", " var map = Array.prototype.map,\n", " prefixes = [&quot;y&quot;,&quot;z&quot;,&quot;a&quot;,&quot;f&quot;,&quot;p&quot;,&quot;n&quot;,&quot;µ&quot;,&quot;m&quot;,&quot;&quot;,&quot;k&quot;,&quot;M&quot;,&quot;G&quot;,&quot;T&quot;,&quot;P&quot;,&quot;E&quot;,&quot;Z&quot;,&quot;Y&quot;];\n", "\n", " function formatLocale(locale) {\n", " var group = locale.grouping === undefined || locale.thousands === undefined ? identity : formatGroup(map.call(locale.grouping, Number), locale.thousands + &quot;&quot;),\n", " currencyPrefix = locale.currency === undefined ? &quot;&quot; : locale.currency[0] + &quot;&quot;,\n", " currencySuffix = locale.currency === undefined ? &quot;&quot; : locale.currency[1] + &quot;&quot;,\n", " decimal = locale.decimal === undefined ? &quot;.&quot; : locale.decimal + &quot;&quot;,\n", " numerals = locale.numerals === undefined ? identity : formatNumerals(map.call(locale.numerals, String)),\n", " percent = locale.percent === undefined ? &quot;%&quot; : locale.percent + &quot;&quot;,\n", " minus = locale.minus === undefined ? &quot;-&quot; : locale.minus + &quot;&quot;,\n", " nan = locale.nan === undefined ? &quot;NaN&quot; : locale.nan + &quot;&quot;;\n", "\n", " function newFormat(specifier) {\n", " specifier = formatSpecifier(specifier);\n", "\n", " var fill = specifier.fill,\n", " align = specifier.align,\n", " sign = specifier.sign,\n", " symbol = specifier.symbol,\n", " zero = specifier.zero,\n", " width = specifier.width,\n", " comma = specifier.comma,\n", " precision = specifier.precision,\n", " trim = specifier.trim,\n", " type = specifier.type;\n", "\n", " // The &quot;n&quot; type is an alias for &quot;,g&quot;.\n", " if (type === &quot;n&quot;) comma = true, type = &quot;g&quot;;\n", "\n", " // The &quot;&quot; type, and any invalid type, is an alias for &quot;.12~g&quot;.\n", " else if (!formatTypes[type]) precision === undefined && (precision = 12), trim = true, type = &quot;g&quot;;\n", "\n", " // If zero fill is specified, padding goes after sign and before digits.\n", " if (zero || (fill === &quot;0&quot; && align === &quot;=&quot;)) zero = true, fill = &quot;0&quot;, align = &quot;=&quot;;\n", "\n", " // Compute the prefix and suffix.\n", " // For SI-prefix, the suffix is lazily computed.\n", " var prefix = symbol === &quot;$&quot; ? currencyPrefix : symbol === &quot;#&quot; && /[boxX]/.test(type) ? &quot;0&quot; + type.toLowerCase() : &quot;&quot;,\n", " suffix = symbol === &quot;$&quot; ? currencySuffix : /[%p]/.test(type) ? percent : &quot;&quot;;\n", "\n", " // What format function should we use?\n", " // Is this an integer type?\n", " // Can this type generate exponential notation?\n", " var formatType = formatTypes[type],\n", " maybeSuffix = /[defgprs%]/.test(type);\n", "\n", " // Set the default precision if not specified,\n", " // or clamp the specified precision to the supported range.\n", " // For significant precision, it must be in [1, 21].\n", " // For fixed precision, it must be in [0, 20].\n", " precision = precision === undefined ? 6\n", " : /[gprs]/.test(type) ? Math.max(1, Math.min(21, precision))\n", " : Math.max(0, Math.min(20, precision));\n", "\n", " function format(value) {\n", " var valuePrefix = prefix,\n", " valueSuffix = suffix,\n", " i, n, c;\n", "\n", " if (type === &quot;c&quot;) {\n", " valueSuffix = formatType(value) + valueSuffix;\n", " value = &quot;&quot;;\n", " } else {\n", " value = +value;\n", "\n", " // Determine the sign. -0 is not less than 0, but 1 / -0 is!\n", " var valueNegative = value < 0 || 1 / value < 0;\n", "\n", " // Perform the initial formatting.\n", " value = isNaN(value) ? nan : formatType(Math.abs(value), precision);\n", "\n", " // Trim insignificant zeros.\n", " if (trim) value = formatTrim(value);\n", "\n", " // If a negative value rounds to zero after formatting, and no explicit positive sign is requested, hide the sign.\n", " if (valueNegative && +value === 0 && sign !== &quot;+&quot;) valueNegative = false;\n", "\n", " // Compute the prefix and suffix.\n", " valuePrefix = (valueNegative ? (sign === &quot;(&quot; ? sign : minus) : sign === &quot;-&quot; || sign === &quot;(&quot; ? &quot;&quot; : sign) + valuePrefix;\n", " valueSuffix = (type === &quot;s&quot; ? prefixes[8 + prefixExponent / 3] : &quot;&quot;) + valueSuffix + (valueNegative && sign === &quot;(&quot; ? &quot;)&quot; : &quot;&quot;);\n", "\n", " // Break the formatted value into the integer “value” part that can be\n", " // grouped, and fractional or exponential “suffix” part that is not.\n", " if (maybeSuffix) {\n", " i = -1, n = value.length;\n", " while (++i < n) {\n", " if (c = value.charCodeAt(i), 48 > c || c > 57) {\n", " valueSuffix = (c === 46 ? decimal + value.slice(i + 1) : value.slice(i)) + valueSuffix;\n", " value = value.slice(0, i);\n", " break;\n", " }\n", " }\n", " }\n", " }\n", "\n", " // If the fill character is not &quot;0&quot;, grouping is applied before padding.\n", " if (comma && !zero) value = group(value, Infinity);\n", "\n", " // Compute the padding.\n", " var length = valuePrefix.length + value.length + valueSuffix.length,\n", " padding = length < width ? new Array(width - length + 1).join(fill) : &quot;&quot;;\n", "\n", " // If the fill character is &quot;0&quot;, grouping is applied after padding.\n", " if (comma && zero) value = group(padding + value, padding.length ? width - valueSuffix.length : Infinity), padding = &quot;&quot;;\n", "\n", " // Reconstruct the final output based on the desired alignment.\n", " switch (align) {\n", " case &quot;<&quot;: value = valuePrefix + value + valueSuffix + padding; break;\n", " case &quot;=&quot;: value = valuePrefix + padding + value + valueSuffix; break;\n", " case &quot;^&quot;: value = padding.slice(0, length = padding.length >> 1) + valuePrefix + value + valueSuffix + padding.slice(length); break;\n", " default: value = padding + valuePrefix + value + valueSuffix; break;\n", " }\n", "\n", " return numerals(value);\n", " }\n", "\n", " format.toString = function() {\n", " return specifier + &quot;&quot;;\n", " };\n", "\n", " return format;\n", " }\n", "\n", " function formatPrefix(specifier, value) {\n", " var f = newFormat((specifier = formatSpecifier(specifier), specifier.type = &quot;f&quot;, specifier)),\n", " e = Math.max(-8, Math.min(8, Math.floor(exponent(value) / 3))) * 3,\n", " k = Math.pow(10, -e),\n", " prefix = prefixes[8 + e / 3];\n", " return function(value) {\n", " return f(k * value) + prefix;\n", " };\n", " }\n", "\n", " return {\n", " format: newFormat,\n", " formatPrefix: formatPrefix\n", " };\n", " }\n", "\n", " var locale;\n", " var format;\n", " var formatPrefix;\n", "\n", " defaultLocale({\n", " decimal: &quot;.&quot;,\n", " thousands: &quot;,&quot;,\n", " grouping: [3],\n", " currency: [&quot;$&quot;, &quot;&quot;],\n", " minus: &quot;-&quot;\n", " });\n", "\n", " function defaultLocale(definition) {\n", " locale = formatLocale(definition);\n", " format = locale.format;\n", " formatPrefix = locale.formatPrefix;\n", " return locale;\n", " }\n", "\n", " function formatter(value, specifier) {\n", " const formatFunc = specifier ? format(specifier) : formatValue;\n", "\n", " if (Array.isArray(value)) {\n", " const [first, second] = value;\n", " if (first === -Infinity) {\n", " return `< ${formatFunc(second)}`;\n", " }\n", " if (second === Infinity) {\n", " return `> ${formatFunc(first)}`;\n", " }\n", " return `${formatFunc(first)} - ${formatFunc(second)}`;\n", " }\n", " return formatFunc(value);\n", " }\n", "\n", " function formatValue(value) {\n", " if (typeof value === 'number') {\n", " return formatNumber(value);\n", " }\n", " return value;\n", " }\n", "\n", " function formatNumber(value) {\n", " if (!Number.isInteger(value)) {\n", " return value.toLocaleString(undefined, {\n", " minimumFractionDigits: 2,\n", " maximumFractionDigits: 3\n", " });\n", " }\n", " return value.toLocaleString();\n", " }\n", "\n", " function updateViewport(id, map) {\n", " function updateMapInfo() {\n", " const mapInfo$ = document.getElementById(id);\n", " const center = map.getCenter();\n", " const lat = center.lat.toFixed(6);\n", " const lng = center.lng.toFixed(6);\n", " const zoom = map.getZoom().toFixed(2);\n", "\n", " mapInfo$.innerText = `viewport={'zoom': ${zoom}, 'lat': ${lat}, 'lng': ${lng}}`;\n", " }\n", "\n", " updateMapInfo();\n", "\n", " map.on('zoom', updateMapInfo);\n", " map.on('move', updateMapInfo);\n", " }\n", "\n", " function getBasecolorSettings(basecolor) {\n", " return {\n", " 'version': 8,\n", " 'sources': {},\n", " 'layers': [{\n", " 'id': 'background',\n", " 'type': 'background',\n", " 'paint': {\n", " 'background-color': basecolor\n", " }\n", " }]\n", " };\n", " }\n", "\n", " function getImageElement(mapIndex) {\n", " const id = mapIndex !== undefined ? `map-image-${mapIndex}` : 'map-image';\n", " return document.getElementById(id);\n", " }\n", "\n", " function getContainerElement(mapIndex) {\n", " const id = mapIndex !== undefined ? `main-container-${mapIndex}` : 'main-container';\n", " return document.getElementById(id);\n", " }\n", "\n", " function saveImage(mapIndex) {\n", " const img = getImageElement(mapIndex);\n", " const container = getContainerElement(mapIndex);\n", "\n", " html2canvas(container)\n", " .then((canvas) => setMapImage(canvas, img, container));\n", " }\n", "\n", " function setMapImage(canvas, img, container) {\n", " const src = canvas.toDataURL();\n", " img.setAttribute('src', src);\n", " img.style.display = 'block';\n", " container.style.display = 'none';\n", " }\n", "\n", " function resetPopupClick(interactivity) {\n", " interactivity.off('featureClick');\n", " }\n", "\n", " function resetPopupHover(interactivity) {\n", " interactivity.off('featureHover');\n", " }\n", "\n", " function setPopupsClick(map, clickPopup, hoverPopup, interactivity, attrs) {\n", " interactivity.on('featureClick', (event) => {\n", " updatePopup(map, clickPopup, event, attrs);\n", " hoverPopup.remove();\n", " });\n", " }\n", "\n", " function setPopupsHover(map, hoverPopup, interactivity, attrs) {\n", " interactivity.on('featureHover', (event) => {\n", " updatePopup(map, hoverPopup, event, attrs);\n", " });\n", " }\n", "\n", " function updatePopup(map, popup, event, attrs) {\n", " if (event.features.length > 0) {\n", " let popupHTML = '';\n", " const layerIDs = [];\n", "\n", " for (const feature of event.features) {\n", " if (layerIDs.includes(feature.layerId)) {\n", " continue;\n", " }\n", " // Track layers to add only one feature per layer\n", " layerIDs.push(feature.layerId);\n", "\n", " for (const item of attrs) {\n", " const variable = feature.variables[item.name];\n", " if (variable) {\n", " let value = variable.value;\n", " value = formatter(value, item.format);\n", "\n", " popupHTML = `\n", " <span class=&quot;popup-name&quot;>${item.title}</span>\n", " <span class=&quot;popup-value&quot;>${value}</span>\n", " ` + popupHTML;\n", " }\n", " }\n", " }\n", "\n", " if (popupHTML) {\n", " popup\n", " .setLngLat([event.coordinates.lng, event.coordinates.lat])\n", " .setHTML(`<div class=&quot;popup-content&quot;>${popupHTML}</div>`);\n", "\n", " if (!popup.isOpen()) {\n", " popup.addTo(map);\n", " }\n", " } else {\n", " popup.remove();\n", " }\n", " } else {\n", " popup.remove();\n", " }\n", " }\n", "\n", " function setInteractivity(map, interactiveLayers, interactiveMapLayers) {\n", " const interactivity = new carto.Interactivity(interactiveMapLayers);\n", "\n", " const clickPopup = new mapboxgl.Popup({\n", " closeButton: true,\n", " closeOnClick: false\n", " });\n", "\n", " const hoverPopup = new mapboxgl.Popup({\n", " closeButton: false,\n", " closeOnClick: false\n", " });\n", "\n", " const { clickAttrs, hoverAttrs } = _setInteractivityAttrs(interactiveLayers);\n", "\n", " resetPopupClick(map);\n", " resetPopupHover(map);\n", "\n", " if (clickAttrs.length > 0) {\n", " setPopupsClick(map, clickPopup, hoverPopup, interactivity, clickAttrs);\n", " }\n", "\n", " if (hoverAttrs.length > 0) {\n", " setPopupsHover(map, hoverPopup, interactivity, hoverAttrs);\n", " }\n", " }\n", "\n", " function _setInteractivityAttrs(interactiveLayers) {\n", " let clickAttrs = [];\n", " let hoverAttrs = [];\n", "\n", " interactiveLayers.forEach((interactiveLayer) => {\n", " interactiveLayer.interactivity.forEach((interactivityDef) => {\n", " if (interactivityDef.event === 'click') {\n", " clickAttrs = clickAttrs.concat(interactivityDef.attrs);\n", " } else if (interactivityDef.event === 'hover') {\n", " hoverAttrs = hoverAttrs.concat(interactivityDef.attrs);\n", " }\n", " });\n", " });\n", "\n", " return { clickAttrs, hoverAttrs };\n", " }\n", "\n", " function renderWidget(widget, value) {\n", " widget.element = widget.element || document.querySelector(`#${widget.id}-value`);\n", "\n", " if (value && widget.element) {\n", " widget.element.innerText = typeof value === 'number' ? formatter(value, widget.options.format) : value;\n", " }\n", " }\n", "\n", " function renderBridge(bridge, widget, mapLayer) {\n", " widget.element = widget.element || document.querySelector(`#${widget.id}`);\n", "\n", " switch (widget.type) {\n", " case 'histogram':\n", " const type = _getWidgetType(mapLayer, widget.value, widget.prop);\n", " const histogram = type === 'category' ? 'categoricalHistogram' : 'numericalHistogram';\n", " bridge[histogram](widget.element, widget.value, widget.options);\n", " break;\n", " case 'category':\n", " bridge.category(widget.element, widget.value, widget.options);\n", " break;\n", " case 'animation':\n", " widget.options.propertyName = widget.prop;\n", " bridge.animationControls(widget.element, widget.value, widget.options);\n", " break;\n", " case 'time-series':\n", " widget.options.propertyName = widget.prop;\n", " bridge.timeSeries(widget.element, widget.value, widget.options);\n", " break;\n", " }\n", " }\n", "\n", " function bridgeLayerWidgets(map, mapLayer, mapSource, widgets) {\n", " const bridge = new AsBridge.VL.Bridge({\n", " carto: carto,\n", " layer: mapLayer,\n", " source: mapSource,\n", " map: map\n", " });\n", "\n", " widgets\n", " .filter((widget) => widget.has_bridge)\n", " .forEach((widget) => renderBridge(bridge, widget, mapLayer));\n", "\n", " bridge.build();\n", " }\n", "\n", " function _getWidgetType(layer, property, value) {\n", " return layer.metadata && layer.metadata.properties[value] ?\n", " layer.metadata.properties[value].type\n", " : _getWidgetPropertyType(layer, property);\n", " }\n", "\n", " function _getWidgetPropertyType(layer, property) {\n", " return layer.metadata && layer.metadata.properties[property] ?\n", " layer.metadata.properties[property].type\n", " : null;\n", " }\n", "\n", " function createLegends(layer, legends, layerIndex, mapIndex=0) {\n", " if (legends.length) {\n", " legends.forEach((legend, legendIndex) => _createLegend(layer, legend, layerIndex, legendIndex, mapIndex));\n", " } else {\n", " _createLegend(layer, legends, layerIndex, 0, mapIndex);\n", " }\n", " }\n", "\n", " function _createLegend(layer, legend, layerIndex, legendIndex, mapIndex=0) {\n", " const element = document.querySelector(`#layer${layerIndex}_map${mapIndex}_legend${legendIndex}`);\n", "\n", " if (legend.prop) {\n", " const othersLabel = 'Others'; // TODO: i18n\n", " const prop = legend.prop;\n", " const dynamic = legend.dynamic;\n", " const order = legend.ascending ? 'ASC' : 'DESC';\n", " const variable = legend.variable;\n", " const config = { othersLabel, variable, order };\n", " const formatFunc = (value) => formatter(value, legend.format);\n", " const options = { format: formatFunc, config, dynamic };\n", "\n", " if (legend.type.startsWith('size-continuous')) {\n", " config.samples = 4;\n", " }\n", "\n", " AsBridge.VL.Legends.rampLegend(element, layer, prop, options);\n", " }\n", " }\n", "\n", " function SourceFactory() {\n", " const sourceTypes = { GeoJSON, Query, MVT };\n", "\n", " this.createSource = (layer) => {\n", " return sourceTypes[layer.type](layer);\n", " };\n", " }\n", "\n", " function GeoJSON(layer) {\n", " const options = JSON.parse(JSON.stringify(layer.options));\n", " const data = _decodeJSONData(layer.data, layer.encode_data);\n", "\n", " return new carto.source.GeoJSON(data, options);\n", " }\n", "\n", " function Query(layer) {\n", " const auth = {\n", " username: layer.credentials.username,\n", " apiKey: layer.credentials.api_key || 'default_public'\n", " };\n", "\n", " const config = {\n", " serverURL: layer.credentials.base_url || `https://${layer.credentials.username}.carto.com/`\n", " };\n", "\n", " return new carto.source.SQL(layer.data, auth, config);\n", " }\n", "\n", " function MVT(layer) {\n", " return new carto.source.MVT(layer.data.file, JSON.parse(layer.data.metadata));\n", " }\n", "\n", " function _decodeJSONData(data, encodeData) {\n", " try {\n", " if (encodeData) {\n", " const decodedJSON = pako.inflate(atob(data), { to: 'string' });\n", " return JSON.parse(decodedJSON);\n", " } else {\n", " return JSON.parse(data);\n", " }\n", " } catch(error) {\n", " throw new Error(`\n", " Error: &quot;${error}&quot;. CARTOframes is not able to parse your local data because it is too large.\n", " Please, disable the data compresion with encode_data=False in your Layer class.\n", " `);\n", " }\n", " }\n", "\n", " const factory = new SourceFactory();\n", "\n", " function initMapLayer(layer, layerIndex, numLayers, hasLegends, map, mapIndex) {\n", " const mapSource = factory.createSource(layer);\n", " const mapViz = new carto.Viz(layer.viz);\n", " const mapLayer = new carto.Layer(`layer${layerIndex}`, mapSource, mapViz);\n", " const mapLayerIndex = numLayers - layerIndex - 1;\n", "\n", " try {\n", " mapLayer._updateLayer.catch(displayError);\n", " } catch (e) {\n", " throw e;\n", " }\n", "\n", " mapLayer.addTo(map);\n", "\n", " setLayerLegend(layer, mapLayerIndex, mapLayer, mapIndex, hasLegends);\n", " setLayerWidgets(map, layer, mapLayer, mapLayerIndex, mapSource);\n", "\n", " return mapLayer;\n", " }\n", "\n", " function getInteractiveLayers(layers, mapLayers) {\n", " const interactiveLayers = [];\n", " const interactiveMapLayers = [];\n", "\n", " layers.forEach((layer, index) => {\n", " if (layer.interactivity) {\n", " interactiveLayers.push(layer);\n", " interactiveMapLayers.push(mapLayers[index]);\n", " }\n", " });\n", "\n", " return { interactiveLayers, interactiveMapLayers };\n", " }\n", "\n", " function setLayerLegend(layer, mapLayerIndex, mapLayer, mapIndex, hasLegends) {\n", " if (hasLegends && layer.legends) {\n", " createLegends(mapLayer, layer.legends, mapLayerIndex, mapIndex);\n", " }\n", " }\n", "\n", " function setLayerWidgets(map, layer, mapLayer, mapLayerIndex, mapSource) {\n", " if (layer.widgets.length) {\n", " initLayerWidgets(layer.widgets, mapLayerIndex);\n", " updateLayerWidgets(layer.widgets, mapLayer);\n", " bridgeLayerWidgets(map, mapLayer, mapSource, layer.widgets);\n", " }\n", " }\n", "\n", " function initLayerWidgets(widgets, mapLayerIndex) {\n", " widgets.forEach((widget, widgetIndex) => {\n", " const id = `layer${mapLayerIndex}_widget${widgetIndex}`;\n", " widget.id = id;\n", " });\n", " }\n", "\n", " function updateLayerWidgets(widgets, mapLayer) {\n", " mapLayer.on('updated', () => renderLayerWidgets(widgets, mapLayer));\n", " }\n", "\n", " function renderLayerWidgets(widgets, mapLayer) {\n", " const variables = mapLayer.viz.variables;\n", "\n", " widgets\n", " .filter((widget) => !widget.has_bridge)\n", " .forEach((widget) => {\n", " const name = widget.variable_name;\n", " const value = getWidgetValue(name, variables);\n", " renderWidget(widget, value);\n", " });\n", " }\n", "\n", " function getWidgetValue(name, variables) {\n", " return name && variables[name] ? variables[name].value : null;\n", " }\n", "\n", " function setReady(settings) {\n", " try {\n", " return settings.maps ? initMaps(settings.maps) : initMap(settings);\n", " } catch (e) {\n", " displayError(e);\n", " }\n", " }\n", "\n", " function initMaps(maps) {\n", " return maps.map((mapSettings, mapIndex) => {\n", " return initMap(mapSettings, mapIndex);\n", " });\n", " }\n", "\n", " function initMap(settings, mapIndex) {\n", " const basecolor = getBasecolorSettings(settings.basecolor);\n", " const basemapStyle = BASEMAPS[settings.basemap] || settings.basemap || basecolor;\n", " const container = mapIndex !== undefined ? `map-${mapIndex}` : 'map';\n", " const map = createMap(container, basemapStyle, settings.bounds, settings.mapboxtoken);\n", "\n", " if (settings.show_info) {\n", " const id = mapIndex !== undefined ? `map-info-${mapIndex}` : 'map-info';\n", " updateViewport(id, map);\n", " }\n", "\n", " if (settings.camera) {\n", " map.flyTo(settings.camera);\n", " }\n", "\n", " return initLayers(map, settings, mapIndex);\n", " }\n", "\n", " function initLayers(map, settings, mapIndex) {\n", " const numLayers = settings.layers.length;\n", " const hasLegends = settings.has_legends;\n", " const isStatic = settings.is_static;\n", " const layers = settings.layers;\n", " const mapLayers = getMapLayers(\n", " layers,\n", " numLayers,\n", " hasLegends,\n", " map,\n", " mapIndex\n", " );\n", "\n", " if (settings.layer_selector) {\n", " addLayersSelector(layers.reverse(), mapLayers.reverse(), mapIndex);\n", " }\n", "\n", " setInteractiveLayers(map, layers, mapLayers);\n", "\n", " return waitForMapLayersLoad(isStatic, mapIndex, mapLayers);\n", " }\n", "\n", " function waitForMapLayersLoad(isStatic, mapIndex, mapLayers) {\n", " return new Promise((resolve) => {\n", " carto.on('loaded', mapLayers, onMapLayersLoaded.bind(\n", " this, isStatic, mapIndex, mapLayers, resolve)\n", " );\n", " });\n", " }\n", "\n", " function onMapLayersLoaded(isStatic, mapIndex, mapLayers, resolve) {\n", " if (isStatic) {\n", " saveImage(mapIndex);\n", " }\n", "\n", " resolve(mapLayers);\n", " }\n", "\n", " function getMapLayers(layers, numLayers, hasLegends, map, mapIndex) {\n", " return layers.map((layer, layerIndex) => {\n", " return initMapLayer(layer, layerIndex, numLayers, hasLegends, map, mapIndex);\n", " });\n", " }\n", "\n", " function setInteractiveLayers(map, layers, mapLayers) {\n", " const { interactiveLayers, interactiveMapLayers } = getInteractiveLayers(layers, mapLayers);\n", "\n", " if (interactiveLayers && interactiveLayers.length > 0) {\n", " setInteractivity(map, interactiveLayers, interactiveMapLayers);\n", " }\n", " }\n", "\n", " function addLayersSelector(layers, mapLayers, mapIndex) {\n", " const layerSelectorId = mapIndex !== undefined ? `#layer-selector-${mapIndex}` : '#layer-selector';\n", " const layerSelector$ = document.querySelector(layerSelectorId);\n", " const layersInfo = mapLayers.map((layer, index) => {\n", " return {\n", " title: layers[index].title || `Layer ${index}`,\n", " id: layer.id,\n", " checked: true\n", " };\n", " });\n", "\n", " const layerSelector = new AsBridge.VL.Layers(layerSelector$, carto, layersInfo, mapLayers);\n", "\n", " layerSelector.build();\n", " }\n", "\n", " function createMap(container, basemapStyle, bounds, accessToken) {\n", " const map = createMapboxGLMap(container, basemapStyle, accessToken);\n", "\n", " map.addControl(attributionControl);\n", " map.fitBounds(bounds, FIT_BOUNDS_SETTINGS);\n", "\n", " return map;\n", " }\n", "\n", " function createMapboxGLMap(container, style, accessToken) {\n", " if (accessToken) {\n", " mapboxgl.accessToken = accessToken;\n", " }\n", "\n", " return new mapboxgl.Map({\n", " container,\n", " style,\n", " zoom: 9,\n", " dragRotate: false,\n", " attributionControl: false\n", " });\n", " }\n", "\n", " function init(settings) {\n", " setReady(settings);\n", " }\n", "\n", " return init;\n", "\n", "}());\n", "</script>\n", "<script>\n", " document\n", " .querySelector('as-responsive-content')\n", " .addEventListener('ready', () => {\n", " const basecolor = '';\n", " const basemap = 'Positron';\n", " const bounds = [[-180, -90], [180, 83.64513000000001]];\n", " const camera = null;\n", " const has_legends = 'true' === 'true';\n", " const is_static = 'None' === 'true';\n", " const layer_selector = 'False' === 'true';\n", " const layers = [{&quot;credentials&quot;: {&quot;api_key&quot;: &quot;default_public&quot;, &quot;base_url&quot;: &quot;https://cartoframes.carto.com&quot;, &quot;username&quot;: &quot;cartoframes&quot;}, &quot;data&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;countries\\&quot;&quot;, &quot;encode_data&quot;: true, &quot;has_legend_list&quot;: true, &quot;interactivity&quot;: [], &quot;legends&quot;: [{&quot;ascending&quot;: false, &quot;description&quot;: &quot;&quot;, &quot;dynamic&quot;: true, &quot;footer&quot;: &quot;&quot;, &quot;format&quot;: null, &quot;prop&quot;: null, &quot;title&quot;: &quot;Countries&quot;, &quot;type&quot;: &quot;default&quot;, &quot;variable&quot;: &quot;&quot;}], &quot;map_index&quot;: 0, &quot;options&quot;: {}, &quot;source&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;countries\\&quot;&quot;, &quot;title&quot;: null, &quot;type&quot;: &quot;Query&quot;, &quot;viz&quot;: &quot;color: hex(\\&quot;#826DBA\\&quot;)\\nstrokeColor: opacity(#2c2c2c,ramp(linear(zoom(),2,18),[0.2,0.6]))\\nstrokeWidth: ramp(linear(zoom(),2,18),[0.5,1])\\n&quot;, &quot;widgets&quot;: []}, {&quot;credentials&quot;: {&quot;api_key&quot;: &quot;default_public&quot;, &quot;base_url&quot;: &quot;https://cartoframes.carto.com&quot;, &quot;username&quot;: &quot;cartoframes&quot;}, &quot;data&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;global_power_plants\\&quot;&quot;, &quot;encode_data&quot;: true, &quot;has_legend_list&quot;: true, &quot;interactivity&quot;: [], &quot;legends&quot;: [{&quot;ascending&quot;: false, &quot;description&quot;: &quot;&quot;, &quot;dynamic&quot;: true, &quot;footer&quot;: &quot;&quot;, &quot;format&quot;: null, &quot;prop&quot;: null, &quot;title&quot;: &quot;Global Power Plants&quot;, &quot;type&quot;: &quot;default&quot;, &quot;variable&quot;: &quot;&quot;}], &quot;map_index&quot;: 0, &quot;options&quot;: {}, &quot;source&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;global_power_plants\\&quot;&quot;, &quot;title&quot;: null, &quot;type&quot;: &quot;Query&quot;, &quot;viz&quot;: &quot;color: hex(\\&quot;#EE4D5A\\&quot;)\\nstrokeColor: opacity(#222,ramp(linear(zoom(),0,18),[0,0.6]))\\nstrokeWidth: ramp(linear(zoom(),0,18),[0,1])\\nwidth: ramp(linear(zoom(),0,18),[2,10])\\n&quot;, &quot;widgets&quot;: []}, {&quot;credentials&quot;: {&quot;api_key&quot;: &quot;default_public&quot;, &quot;base_url&quot;: &quot;https://cartoframes.carto.com&quot;, &quot;username&quot;: &quot;cartoframes&quot;}, &quot;data&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;world_rivers\\&quot;&quot;, &quot;encode_data&quot;: true, &quot;has_legend_list&quot;: true, &quot;interactivity&quot;: [], &quot;legends&quot;: [{&quot;ascending&quot;: false, &quot;description&quot;: &quot;&quot;, &quot;dynamic&quot;: true, &quot;footer&quot;: &quot;&quot;, &quot;format&quot;: null, &quot;prop&quot;: null, &quot;title&quot;: &quot;World Rivers&quot;, &quot;type&quot;: &quot;default&quot;, &quot;variable&quot;: &quot;&quot;}], &quot;map_index&quot;: 0, &quot;options&quot;: {}, &quot;source&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;world_rivers\\&quot;&quot;, &quot;title&quot;: null, &quot;type&quot;: &quot;Query&quot;, &quot;viz&quot;: &quot;color: hex(\\&quot;#4CC8A3\\&quot;)\\nwidth: ramp(linear(zoom(),0,18),[0.5,4])\\n&quot;, &quot;widgets&quot;: []}];\n", " const mapboxtoken = '';\n", " const show_info = 'None' === 'true';\n", "\n", " init({\n", " basecolor,\n", " basemap,\n", " bounds,\n", " camera,\n", " has_legends,\n", " is_static,\n", " layer_selector,\n", " layers,\n", " mapboxtoken,\n", " show_info\n", " });\n", "});\n", "</script>\n", "</html>\n", "\">\n", "\n", "</iframe>" ], "text/plain": [ "<cartoframes.viz.map.Map at 0x7ffb51b5d040>" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from cartoframes.viz import default_legend\n", "\n", "Map([\n", " Layer('countries', legends=default_legend('Countries')),\n", " Layer('global_power_plants', legends=default_legend('Global Power Plants')),\n", " Layer('world_rivers', legends=default_legend('World Rivers'))\n", "])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Adding a Layer Selector" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "scrolled": false }, "outputs": [ { "data": { "text/html": [ "<iframe\n", " frameborder=\"0\"\n", " style=\"\n", " border: 1px solid #cfcfcf;\n", " width: 100%;\n", " height: 632px;\n", " \"\n", " srcDoc=\"\n", " <!DOCTYPE html>\n", "<html lang=&quot;en&quot;>\n", "<head>\n", " <title>None</title>\n", " <meta name=&quot;description&quot; content=&quot;None&quot;>\n", " <meta name=&quot;viewport&quot; content=&quot;width=device-width, initial-scale=1.0&quot;>\n", " <meta charset=&quot;UTF-8&quot;>\n", " <!-- Include CARTO VL JS -->\n", " <script src=&quot;https://libs.cartocdn.com/carto-vl/v1.4/carto-vl.min.js&quot;></script>\n", " <!-- Include Mapbox GL JS -->\n", " <script src=&quot;https://api.tiles.mapbox.com/mapbox-gl-js/v1.0.0/mapbox-gl.js&quot;></script>\n", " <!-- Include Mapbox GL CSS -->\n", " <link href=&quot;https://api.tiles.mapbox.com/mapbox-gl-js/v1.0.0/mapbox-gl.css&quot; rel=&quot;stylesheet&quot; />\n", "\n", " <!-- Include Airship -->\n", " <script nomodule=&quot;&quot; src=&quot;https://libs.cartocdn.com/airship-components/v2.3/airship.js&quot;></script>\n", " <script type=&quot;module&quot; src=&quot;https://libs.cartocdn.com/airship-components/v2.3/airship/airship.esm.js&quot;></script>\n", " <script src=&quot;https://libs.cartocdn.com/airship-bridge/v2.3/asbridge.min.js&quot;></script>\n", " <link href=&quot;https://libs.cartocdn.com/airship-style/v2.3/airship.min.css&quot; rel=&quot;stylesheet&quot;>\n", " <link href=&quot;https://libs.cartocdn.com/airship-icons/v2.3/icons.css&quot; rel=&quot;stylesheet&quot;>\n", "\n", " <link href=&quot;https://fonts.googleapis.com/css?family=Roboto&quot; rel=&quot;stylesheet&quot; type=&quot;text/css&quot;>\n", "\n", " <!-- External libraries -->\n", "\n", " <!-- pako -->\n", " <script src=&quot;https://libs.cartocdn.com/cartoframes/dependencies/pako_inflate.min.js&quot;></script>\n", " \n", " <!-- html2canvas -->\n", " \n", "\n", " \n", " <style>\n", " body {\n", " margin: 0;\n", " padding: 0;\n", " }\n", "\n", " aside.as-sidebar {\n", " min-width: 300px;\n", " }\n", "\n", " .map-image {\n", " display: none;\n", " max-width: 100%;\n", " height: auto;\n", " }\n", "\n", " as-layer-selector-slot .as-layer-selector-slot--wrapper .as-caption { // FIXME\n", " font-size: 14px;\n", " line-height: 14px;\n", " }\n", "</style>\n", " <style>\n", " .map {\n", " position: absolute;\n", " height: 100%;\n", " width: 100%;\n", " }\n", "\n", " .map-info {\n", " position: absolute;\n", " bottom: 0;\n", " padding: 0 5px;\n", " background-color: rgba(255, 255, 255, 0.5);\n", " margin: 0;\n", " color: rgba(0, 0, 0, 0.75);\n", " font-size: 12px;\n", " width: auto;\n", " height: 18px;\n", " font-family: 'Open Sans';\n", " }\n", "\n", " .map-footer {\n", " background: #F2F6F9;\n", " font-family: Roboto;\n", " font-size: 12px;\n", " line-height: 24px;\n", " color: #162945;\n", " text-align: center;\n", " z-index: 2;\n", " }\n", "\n", " .map-footer a {\n", " text-decoration: none;\n", " }\n", "\n", " .map-footer a:hover {\n", " text-decoration: underline;\n", " }\n", "</style>\n", " <style>\n", " #error-container {\n", " position: absolute;\n", " width: 100%;\n", " height: 100%;\n", " background-color: white;\n", " visibility: hidden;\n", " padding: 1em;\n", " font-family: &quot;Courier New&quot;, Courier, monospace;\n", " margin: 0 auto;\n", " font-size: 14px;\n", " overflow: auto;\n", " z-index: 1000;\n", " color: black;\n", " }\n", "\n", " .error-section {\n", " padding: 1em;\n", " border-radius: 5px;\n", " background-color: #fee;\n", " }\n", "\n", " #error-container #error-highlight {\n", " font-weight: bold;\n", " color: inherit;\n", " }\n", "\n", " #error-container #error-type {\n", " color: #008000;\n", " }\n", "\n", " #error-container #error-name {\n", " color: #ba2121;\n", " }\n", "\n", " #error-container #error-content {\n", " margin-top: 0.4em;\n", " }\n", "\n", " .error-details {\n", " margin-top: 1em;\n", " }\n", "\n", " #error-stacktrace {\n", " list-style: none;\n", " }\n", "</style>\n", " <style>\n", " .popup-content {\n", " display: flex;\n", " flex-direction: column;\n", " padding: 8px;\n", " }\n", "\n", " .popup-name {\n", " font-size: 12px;\n", " font-weight: 400;\n", " line-height: 20px;\n", " margin-bottom: 4px;\n", " }\n", "\n", " .popup-value {\n", " font-size: 16px;\n", " font-weight: 600;\n", " line-height: 20px;\n", " }\n", "\n", " .popup-value:not(:last-of-type) {\n", " margin-bottom: 16px;\n", " }\n", "</style>\n", " <style>\n", " as-widget-header .as-widget-header__header {\n", " margin-bottom: 8px;\n", " overflow-wrap: break-word;\n", " }\n", "\n", " as-widget-header .as-widget-header__subheader {\n", " margin-bottom: 12px;\n", " }\n", "\n", " as-category-widget {\n", " max-height: 250px;\n", " }\n", "</style>\n", "</head>\n", "\n", "<body class=&quot;as-app-body as-app&quot;>\n", " <img id=&quot;map-image&quot; class=&quot;map-image&quot; alt='Static map image' />\n", " <as-responsive-content id=&quot;main-container&quot;>\n", " \n", " <main class=&quot;as-main&quot;>\n", " <div class=&quot;as-map-area&quot;>\n", " <div id=&quot;map&quot; class=&quot;map&quot;></div>\n", " \n", " \n", " <div class=&quot;as-map-panels&quot; data-name=&quot;Legends&quot;>\n", " <div class=&quot;as-panel as-panel--vertical as-panel--left as-panel--top&quot;>\n", " \n", "\n", "<div class=&quot;as-panel__element&quot; id=&quot;legends&quot;>\n", " <as-layer-selector id=&quot;layer-selector&quot;>\n", " \n", " \n", " \n", " \n", " <div slot=&quot;as-checkbox-layer-0-slot&quot;>\n", " \n", " \n", " <as-legend\n", " heading=&quot;&quot;\n", " description=&quot;&quot;>\n", " <as-legend-default id=&quot;layer0_map0_legend0&quot; slot=&quot;legends&quot;></as-legend-default>\n", " \n", " </as-legend>\n", " \n", " \n", " </div>\n", " \n", " \n", " \n", " \n", " \n", " <div slot=&quot;as-checkbox-layer-1-slot&quot;>\n", " \n", " \n", " <as-legend\n", " heading=&quot;&quot;\n", " description=&quot;&quot;>\n", " <as-legend-default id=&quot;layer1_map0_legend0&quot; slot=&quot;legends&quot;></as-legend-default>\n", " \n", " </as-legend>\n", " \n", " \n", " </div>\n", " \n", " \n", " \n", " \n", " \n", " <div slot=&quot;as-checkbox-layer-2-slot&quot;>\n", " \n", " \n", " <as-legend\n", " heading=&quot;&quot;\n", " description=&quot;&quot;>\n", " <as-legend-default id=&quot;layer2_map0_legend0&quot; slot=&quot;legends&quot;></as-legend-default>\n", " \n", " </as-legend>\n", " \n", " \n", " </div>\n", " \n", " \n", " </as-layer-selector>\n", "</div>\n", " </div> <!-- as-panel -->\n", " </div> <!-- as-map-panels -->\n", " \n", " </div> <!-- as-map-area -->\n", " </main> <!-- as-main -->\n", " </as-responsive-content>\n", "\n", " \n", "\n", " <div id=&quot;error-container&quot; class=&quot;error&quot;>\n", " <section class=&quot;error-section&quot;>\n", " <span class=&quot;errors&quot; id=&quot;error-name&quot;></span>:\n", " <section id=&quot;error-content&quot;>\n", " <span class=&quot;errors&quot; id=&quot;error-type&quot;></span>\n", " <span class=&quot;errors&quot; id=&quot;error-message&quot;></span>\n", " </section>\n", " </section>\n", "\n", " <details class=&quot;error-details&quot;>\n", " <summary>StackTrace</summary>\n", " <ul id=&quot;error-stacktrace&quot;></ul>\n", " </details>\n", "</div>\n", "</body>\n", "\n", "<script>\n", " var init = (function () {\n", " 'use strict';\n", "\n", " const BASEMAPS = {\n", " DarkMatter: carto.basemaps.darkmatter,\n", " Voyager: carto.basemaps.voyager,\n", " Positron: carto.basemaps.positron\n", " };\n", "\n", " const attributionControl = new mapboxgl.AttributionControl({\n", " compact: false\n", " });\n", "\n", " const FIT_BOUNDS_SETTINGS = { animate: false, padding: 50, maxZoom: 16 };\n", "\n", " /** From https://github.com/errwischt/stacktrace-parser/blob/master/src/stack-trace-parser.js */\n", "\n", " /**\n", " * This parses the different stack traces and puts them into one format\n", " * This borrows heavily from TraceKit (https://github.com/csnover/TraceKit)\n", " */\n", "\n", " const UNKNOWN_FUNCTION = '<unknown>';\n", " const chromeRe = /^\\s*at (.*?) ?\\(((?:file|https?|blob|chrome-extension|native|eval|webpack|<anonymous>|\\/).*?)(?::(\\d+))?(?::(\\d+))?\\)?\\s*$/i;\n", " const chromeEvalRe = /\\((\\S*)(?::(\\d+))(?::(\\d+))\\)/;\n", " const winjsRe = /^\\s*at (?:((?:\\[object object\\])?.+) )?\\(?((?:file|ms-appx|https?|webpack|blob):.*?):(\\d+)(?::(\\d+))?\\)?\\s*$/i;\n", " const geckoRe = /^\\s*(.*?)(?:\\((.*?)\\))?(?:^|@)((?:file|https?|blob|chrome|webpack|resource|\\[native).*?|[^@]*bundle)(?::(\\d+))?(?::(\\d+))?\\s*$/i;\n", " const geckoEvalRe = /(\\S+) line (\\d+)(?: > eval line \\d+)* > eval/i;\n", "\n", " function parse(stackString) {\n", " const lines = stackString.split('\\n');\n", "\n", " return lines.reduce((stack, line) => {\n", " const parseResult =\n", " parseChrome(line) ||\n", " parseWinjs(line) ||\n", " parseGecko(line);\n", "\n", " if (parseResult) {\n", " stack.push(parseResult);\n", " }\n", "\n", " return stack;\n", " }, []);\n", " }\n", "\n", " function parseChrome(line) {\n", " const parts = chromeRe.exec(line);\n", "\n", " if (!parts) {\n", " return null;\n", " }\n", "\n", " const isNative = parts[2] && parts[2].indexOf('native') === 0; // start of line\n", " const isEval = parts[2] && parts[2].indexOf('eval') === 0; // start of line\n", "\n", " const submatch = chromeEvalRe.exec(parts[2]);\n", " if (isEval && submatch != null) {\n", " // throw out eval line/column and use top-most line/column number\n", " parts[2] = submatch[1]; // url\n", " parts[3] = submatch[2]; // line\n", " parts[4] = submatch[3]; // column\n", " }\n", "\n", " return {\n", " file: !isNative ? parts[2] : null,\n", " methodName: parts[1] || UNKNOWN_FUNCTION,\n", " arguments: isNative ? [parts[2]] : [],\n", " lineNumber: parts[3] ? +parts[3] : null,\n", " column: parts[4] ? +parts[4] : null,\n", " };\n", " }\n", "\n", " function parseWinjs(line) {\n", " const parts = winjsRe.exec(line);\n", "\n", " if (!parts) {\n", " return null;\n", " }\n", "\n", " return {\n", " file: parts[2],\n", " methodName: parts[1] || UNKNOWN_FUNCTION,\n", " arguments: [],\n", " lineNumber: +parts[3],\n", " column: parts[4] ? +parts[4] : null,\n", " };\n", " }\n", "\n", " function parseGecko(line) {\n", " const parts = geckoRe.exec(line);\n", "\n", " if (!parts) {\n", " return null;\n", " }\n", "\n", " const isEval = parts[3] && parts[3].indexOf(' > eval') > -1;\n", "\n", " const submatch = geckoEvalRe.exec(parts[3]);\n", " if (isEval && submatch != null) {\n", " // throw out eval line/column and use top-most line number\n", " parts[3] = submatch[1];\n", " parts[4] = submatch[2];\n", " parts[5] = null; // no column when eval\n", " }\n", "\n", " return {\n", " file: parts[3],\n", " methodName: parts[1] || UNKNOWN_FUNCTION,\n", " arguments: parts[2] ? parts[2].split(',') : [],\n", " lineNumber: parts[4] ? +parts[4] : null,\n", " column: parts[5] ? +parts[5] : null,\n", " };\n", " }\n", "\n", " function displayError(e) {\n", " const error$ = document.getElementById('error-container');\n", " const errors$ = error$.getElementsByClassName('errors');\n", " const stacktrace$ = document.getElementById('error-stacktrace');\n", "\n", " errors$[0].innerHTML = e.name;\n", " errors$[1].innerHTML = e.type;\n", " errors$[2].innerHTML = e.message.replace(e.type, '');\n", "\n", " error$.style.visibility = 'visible';\n", "\n", " const stack = parse(e.stack);\n", " const list = stack.map(item => {\n", " return `<li>\n", " at <span class=&quot;stacktrace-method&quot;>${item.methodName}:</span>\n", " (${item.file}:${item.lineNumber}:${item.column})\n", " </li>`;\n", " });\n", "\n", " stacktrace$.innerHTML = list.join('\\n');\n", " }\n", "\n", " // Computes the decimal coefficient and exponent of the specified number x with\n", " // significant digits p, where x is positive and p is in [1, 21] or undefined.\n", " // For example, formatDecimal(1.23) returns [&quot;123&quot;, 0].\n", " function formatDecimal(x, p) {\n", " if ((i = (x = p ? x.toExponential(p - 1) : x.toExponential()).indexOf(&quot;e&quot;)) < 0) return null; // NaN, ±Infinity\n", " var i, coefficient = x.slice(0, i);\n", "\n", " // The string returned by toExponential either has the form \\d\\.\\d+e[-+]\\d+\n", " // (e.g., 1.2e+3) or the form \\de[-+]\\d+ (e.g., 1e+3).\n", " return [\n", " coefficient.length > 1 ? coefficient[0] + coefficient.slice(2) : coefficient,\n", " +x.slice(i + 1)\n", " ];\n", " }\n", "\n", " function exponent(x) {\n", " return x = formatDecimal(Math.abs(x)), x ? x[1] : NaN;\n", " }\n", "\n", " function formatGroup(grouping, thousands) {\n", " return function(value, width) {\n", " var i = value.length,\n", " t = [],\n", " j = 0,\n", " g = grouping[0],\n", " length = 0;\n", "\n", " while (i > 0 && g > 0) {\n", " if (length + g + 1 > width) g = Math.max(1, width - length);\n", " t.push(value.substring(i -= g, i + g));\n", " if ((length += g + 1) > width) break;\n", " g = grouping[j = (j + 1) % grouping.length];\n", " }\n", "\n", " return t.reverse().join(thousands);\n", " };\n", " }\n", "\n", " function formatNumerals(numerals) {\n", " return function(value) {\n", " return value.replace(/[0-9]/g, function(i) {\n", " return numerals[+i];\n", " });\n", " };\n", " }\n", "\n", " // [[fill]align][sign][symbol][0][width][,][.precision][~][type]\n", " var re = /^(?:(.)?([<>=^]))?([+\\-( ])?([$#])?(0)?(\\d+)?(,)?(\\.\\d+)?(~)?([a-z%])?$/i;\n", "\n", " function formatSpecifier(specifier) {\n", " if (!(match = re.exec(specifier))) throw new Error(&quot;invalid format: &quot; + specifier);\n", " var match;\n", " return new FormatSpecifier({\n", " fill: match[1],\n", " align: match[2],\n", " sign: match[3],\n", " symbol: match[4],\n", " zero: match[5],\n", " width: match[6],\n", " comma: match[7],\n", " precision: match[8] && match[8].slice(1),\n", " trim: match[9],\n", " type: match[10]\n", " });\n", " }\n", "\n", " formatSpecifier.prototype = FormatSpecifier.prototype; // instanceof\n", "\n", " function FormatSpecifier(specifier) {\n", " this.fill = specifier.fill === undefined ? &quot; &quot; : specifier.fill + &quot;&quot;;\n", " this.align = specifier.align === undefined ? &quot;>&quot; : specifier.align + &quot;&quot;;\n", " this.sign = specifier.sign === undefined ? &quot;-&quot; : specifier.sign + &quot;&quot;;\n", " this.symbol = specifier.symbol === undefined ? &quot;&quot; : specifier.symbol + &quot;&quot;;\n", " this.zero = !!specifier.zero;\n", " this.width = specifier.width === undefined ? undefined : +specifier.width;\n", " this.comma = !!specifier.comma;\n", " this.precision = specifier.precision === undefined ? undefined : +specifier.precision;\n", " this.trim = !!specifier.trim;\n", " this.type = specifier.type === undefined ? &quot;&quot; : specifier.type + &quot;&quot;;\n", " }\n", "\n", " FormatSpecifier.prototype.toString = function() {\n", " return this.fill\n", " + this.align\n", " + this.sign\n", " + this.symbol\n", " + (this.zero ? &quot;0&quot; : &quot;&quot;)\n", " + (this.width === undefined ? &quot;&quot; : Math.max(1, this.width | 0))\n", " + (this.comma ? &quot;,&quot; : &quot;&quot;)\n", " + (this.precision === undefined ? &quot;&quot; : &quot;.&quot; + Math.max(0, this.precision | 0))\n", " + (this.trim ? &quot;~&quot; : &quot;&quot;)\n", " + this.type;\n", " };\n", "\n", " // Trims insignificant zeros, e.g., replaces 1.2000k with 1.2k.\n", " function formatTrim(s) {\n", " out: for (var n = s.length, i = 1, i0 = -1, i1; i < n; ++i) {\n", " switch (s[i]) {\n", " case &quot;.&quot;: i0 = i1 = i; break;\n", " case &quot;0&quot;: if (i0 === 0) i0 = i; i1 = i; break;\n", " default: if (!+s[i]) break out; if (i0 > 0) i0 = 0; break;\n", " }\n", " }\n", " return i0 > 0 ? s.slice(0, i0) + s.slice(i1 + 1) : s;\n", " }\n", "\n", " var prefixExponent;\n", "\n", " function formatPrefixAuto(x, p) {\n", " var d = formatDecimal(x, p);\n", " if (!d) return x + &quot;&quot;;\n", " var coefficient = d[0],\n", " exponent = d[1],\n", " i = exponent - (prefixExponent = Math.max(-8, Math.min(8, Math.floor(exponent / 3))) * 3) + 1,\n", " n = coefficient.length;\n", " return i === n ? coefficient\n", " : i > n ? coefficient + new Array(i - n + 1).join(&quot;0&quot;)\n", " : i > 0 ? coefficient.slice(0, i) + &quot;.&quot; + coefficient.slice(i)\n", " : &quot;0.&quot; + new Array(1 - i).join(&quot;0&quot;) + formatDecimal(x, Math.max(0, p + i - 1))[0]; // less than 1y!\n", " }\n", "\n", " function formatRounded(x, p) {\n", " var d = formatDecimal(x, p);\n", " if (!d) return x + &quot;&quot;;\n", " var coefficient = d[0],\n", " exponent = d[1];\n", " return exponent < 0 ? &quot;0.&quot; + new Array(-exponent).join(&quot;0&quot;) + coefficient\n", " : coefficient.length > exponent + 1 ? coefficient.slice(0, exponent + 1) + &quot;.&quot; + coefficient.slice(exponent + 1)\n", " : coefficient + new Array(exponent - coefficient.length + 2).join(&quot;0&quot;);\n", " }\n", "\n", " var formatTypes = {\n", " &quot;%&quot;: function(x, p) { return (x * 100).toFixed(p); },\n", " &quot;b&quot;: function(x) { return Math.round(x).toString(2); },\n", " &quot;c&quot;: function(x) { return x + &quot;&quot;; },\n", " &quot;d&quot;: function(x) { return Math.round(x).toString(10); },\n", " &quot;e&quot;: function(x, p) { return x.toExponential(p); },\n", " &quot;f&quot;: function(x, p) { return x.toFixed(p); },\n", " &quot;g&quot;: function(x, p) { return x.toPrecision(p); },\n", " &quot;o&quot;: function(x) { return Math.round(x).toString(8); },\n", " &quot;p&quot;: function(x, p) { return formatRounded(x * 100, p); },\n", " &quot;r&quot;: formatRounded,\n", " &quot;s&quot;: formatPrefixAuto,\n", " &quot;X&quot;: function(x) { return Math.round(x).toString(16).toUpperCase(); },\n", " &quot;x&quot;: function(x) { return Math.round(x).toString(16); }\n", " };\n", "\n", " function identity(x) {\n", " return x;\n", " }\n", "\n", " var map = Array.prototype.map,\n", " prefixes = [&quot;y&quot;,&quot;z&quot;,&quot;a&quot;,&quot;f&quot;,&quot;p&quot;,&quot;n&quot;,&quot;µ&quot;,&quot;m&quot;,&quot;&quot;,&quot;k&quot;,&quot;M&quot;,&quot;G&quot;,&quot;T&quot;,&quot;P&quot;,&quot;E&quot;,&quot;Z&quot;,&quot;Y&quot;];\n", "\n", " function formatLocale(locale) {\n", " var group = locale.grouping === undefined || locale.thousands === undefined ? identity : formatGroup(map.call(locale.grouping, Number), locale.thousands + &quot;&quot;),\n", " currencyPrefix = locale.currency === undefined ? &quot;&quot; : locale.currency[0] + &quot;&quot;,\n", " currencySuffix = locale.currency === undefined ? &quot;&quot; : locale.currency[1] + &quot;&quot;,\n", " decimal = locale.decimal === undefined ? &quot;.&quot; : locale.decimal + &quot;&quot;,\n", " numerals = locale.numerals === undefined ? identity : formatNumerals(map.call(locale.numerals, String)),\n", " percent = locale.percent === undefined ? &quot;%&quot; : locale.percent + &quot;&quot;,\n", " minus = locale.minus === undefined ? &quot;-&quot; : locale.minus + &quot;&quot;,\n", " nan = locale.nan === undefined ? &quot;NaN&quot; : locale.nan + &quot;&quot;;\n", "\n", " function newFormat(specifier) {\n", " specifier = formatSpecifier(specifier);\n", "\n", " var fill = specifier.fill,\n", " align = specifier.align,\n", " sign = specifier.sign,\n", " symbol = specifier.symbol,\n", " zero = specifier.zero,\n", " width = specifier.width,\n", " comma = specifier.comma,\n", " precision = specifier.precision,\n", " trim = specifier.trim,\n", " type = specifier.type;\n", "\n", " // The &quot;n&quot; type is an alias for &quot;,g&quot;.\n", " if (type === &quot;n&quot;) comma = true, type = &quot;g&quot;;\n", "\n", " // The &quot;&quot; type, and any invalid type, is an alias for &quot;.12~g&quot;.\n", " else if (!formatTypes[type]) precision === undefined && (precision = 12), trim = true, type = &quot;g&quot;;\n", "\n", " // If zero fill is specified, padding goes after sign and before digits.\n", " if (zero || (fill === &quot;0&quot; && align === &quot;=&quot;)) zero = true, fill = &quot;0&quot;, align = &quot;=&quot;;\n", "\n", " // Compute the prefix and suffix.\n", " // For SI-prefix, the suffix is lazily computed.\n", " var prefix = symbol === &quot;$&quot; ? currencyPrefix : symbol === &quot;#&quot; && /[boxX]/.test(type) ? &quot;0&quot; + type.toLowerCase() : &quot;&quot;,\n", " suffix = symbol === &quot;$&quot; ? currencySuffix : /[%p]/.test(type) ? percent : &quot;&quot;;\n", "\n", " // What format function should we use?\n", " // Is this an integer type?\n", " // Can this type generate exponential notation?\n", " var formatType = formatTypes[type],\n", " maybeSuffix = /[defgprs%]/.test(type);\n", "\n", " // Set the default precision if not specified,\n", " // or clamp the specified precision to the supported range.\n", " // For significant precision, it must be in [1, 21].\n", " // For fixed precision, it must be in [0, 20].\n", " precision = precision === undefined ? 6\n", " : /[gprs]/.test(type) ? Math.max(1, Math.min(21, precision))\n", " : Math.max(0, Math.min(20, precision));\n", "\n", " function format(value) {\n", " var valuePrefix = prefix,\n", " valueSuffix = suffix,\n", " i, n, c;\n", "\n", " if (type === &quot;c&quot;) {\n", " valueSuffix = formatType(value) + valueSuffix;\n", " value = &quot;&quot;;\n", " } else {\n", " value = +value;\n", "\n", " // Determine the sign. -0 is not less than 0, but 1 / -0 is!\n", " var valueNegative = value < 0 || 1 / value < 0;\n", "\n", " // Perform the initial formatting.\n", " value = isNaN(value) ? nan : formatType(Math.abs(value), precision);\n", "\n", " // Trim insignificant zeros.\n", " if (trim) value = formatTrim(value);\n", "\n", " // If a negative value rounds to zero after formatting, and no explicit positive sign is requested, hide the sign.\n", " if (valueNegative && +value === 0 && sign !== &quot;+&quot;) valueNegative = false;\n", "\n", " // Compute the prefix and suffix.\n", " valuePrefix = (valueNegative ? (sign === &quot;(&quot; ? sign : minus) : sign === &quot;-&quot; || sign === &quot;(&quot; ? &quot;&quot; : sign) + valuePrefix;\n", " valueSuffix = (type === &quot;s&quot; ? prefixes[8 + prefixExponent / 3] : &quot;&quot;) + valueSuffix + (valueNegative && sign === &quot;(&quot; ? &quot;)&quot; : &quot;&quot;);\n", "\n", " // Break the formatted value into the integer “value” part that can be\n", " // grouped, and fractional or exponential “suffix” part that is not.\n", " if (maybeSuffix) {\n", " i = -1, n = value.length;\n", " while (++i < n) {\n", " if (c = value.charCodeAt(i), 48 > c || c > 57) {\n", " valueSuffix = (c === 46 ? decimal + value.slice(i + 1) : value.slice(i)) + valueSuffix;\n", " value = value.slice(0, i);\n", " break;\n", " }\n", " }\n", " }\n", " }\n", "\n", " // If the fill character is not &quot;0&quot;, grouping is applied before padding.\n", " if (comma && !zero) value = group(value, Infinity);\n", "\n", " // Compute the padding.\n", " var length = valuePrefix.length + value.length + valueSuffix.length,\n", " padding = length < width ? new Array(width - length + 1).join(fill) : &quot;&quot;;\n", "\n", " // If the fill character is &quot;0&quot;, grouping is applied after padding.\n", " if (comma && zero) value = group(padding + value, padding.length ? width - valueSuffix.length : Infinity), padding = &quot;&quot;;\n", "\n", " // Reconstruct the final output based on the desired alignment.\n", " switch (align) {\n", " case &quot;<&quot;: value = valuePrefix + value + valueSuffix + padding; break;\n", " case &quot;=&quot;: value = valuePrefix + padding + value + valueSuffix; break;\n", " case &quot;^&quot;: value = padding.slice(0, length = padding.length >> 1) + valuePrefix + value + valueSuffix + padding.slice(length); break;\n", " default: value = padding + valuePrefix + value + valueSuffix; break;\n", " }\n", "\n", " return numerals(value);\n", " }\n", "\n", " format.toString = function() {\n", " return specifier + &quot;&quot;;\n", " };\n", "\n", " return format;\n", " }\n", "\n", " function formatPrefix(specifier, value) {\n", " var f = newFormat((specifier = formatSpecifier(specifier), specifier.type = &quot;f&quot;, specifier)),\n", " e = Math.max(-8, Math.min(8, Math.floor(exponent(value) / 3))) * 3,\n", " k = Math.pow(10, -e),\n", " prefix = prefixes[8 + e / 3];\n", " return function(value) {\n", " return f(k * value) + prefix;\n", " };\n", " }\n", "\n", " return {\n", " format: newFormat,\n", " formatPrefix: formatPrefix\n", " };\n", " }\n", "\n", " var locale;\n", " var format;\n", " var formatPrefix;\n", "\n", " defaultLocale({\n", " decimal: &quot;.&quot;,\n", " thousands: &quot;,&quot;,\n", " grouping: [3],\n", " currency: [&quot;$&quot;, &quot;&quot;],\n", " minus: &quot;-&quot;\n", " });\n", "\n", " function defaultLocale(definition) {\n", " locale = formatLocale(definition);\n", " format = locale.format;\n", " formatPrefix = locale.formatPrefix;\n", " return locale;\n", " }\n", "\n", " function formatter(value, specifier) {\n", " const formatFunc = specifier ? format(specifier) : formatValue;\n", "\n", " if (Array.isArray(value)) {\n", " const [first, second] = value;\n", " if (first === -Infinity) {\n", " return `< ${formatFunc(second)}`;\n", " }\n", " if (second === Infinity) {\n", " return `> ${formatFunc(first)}`;\n", " }\n", " return `${formatFunc(first)} - ${formatFunc(second)}`;\n", " }\n", " return formatFunc(value);\n", " }\n", "\n", " function formatValue(value) {\n", " if (typeof value === 'number') {\n", " return formatNumber(value);\n", " }\n", " return value;\n", " }\n", "\n", " function formatNumber(value) {\n", " if (!Number.isInteger(value)) {\n", " return value.toLocaleString(undefined, {\n", " minimumFractionDigits: 2,\n", " maximumFractionDigits: 3\n", " });\n", " }\n", " return value.toLocaleString();\n", " }\n", "\n", " function updateViewport(id, map) {\n", " function updateMapInfo() {\n", " const mapInfo$ = document.getElementById(id);\n", " const center = map.getCenter();\n", " const lat = center.lat.toFixed(6);\n", " const lng = center.lng.toFixed(6);\n", " const zoom = map.getZoom().toFixed(2);\n", "\n", " mapInfo$.innerText = `viewport={'zoom': ${zoom}, 'lat': ${lat}, 'lng': ${lng}}`;\n", " }\n", "\n", " updateMapInfo();\n", "\n", " map.on('zoom', updateMapInfo);\n", " map.on('move', updateMapInfo);\n", " }\n", "\n", " function getBasecolorSettings(basecolor) {\n", " return {\n", " 'version': 8,\n", " 'sources': {},\n", " 'layers': [{\n", " 'id': 'background',\n", " 'type': 'background',\n", " 'paint': {\n", " 'background-color': basecolor\n", " }\n", " }]\n", " };\n", " }\n", "\n", " function getImageElement(mapIndex) {\n", " const id = mapIndex !== undefined ? `map-image-${mapIndex}` : 'map-image';\n", " return document.getElementById(id);\n", " }\n", "\n", " function getContainerElement(mapIndex) {\n", " const id = mapIndex !== undefined ? `main-container-${mapIndex}` : 'main-container';\n", " return document.getElementById(id);\n", " }\n", "\n", " function saveImage(mapIndex) {\n", " const img = getImageElement(mapIndex);\n", " const container = getContainerElement(mapIndex);\n", "\n", " html2canvas(container)\n", " .then((canvas) => setMapImage(canvas, img, container));\n", " }\n", "\n", " function setMapImage(canvas, img, container) {\n", " const src = canvas.toDataURL();\n", " img.setAttribute('src', src);\n", " img.style.display = 'block';\n", " container.style.display = 'none';\n", " }\n", "\n", " function resetPopupClick(interactivity) {\n", " interactivity.off('featureClick');\n", " }\n", "\n", " function resetPopupHover(interactivity) {\n", " interactivity.off('featureHover');\n", " }\n", "\n", " function setPopupsClick(map, clickPopup, hoverPopup, interactivity, attrs) {\n", " interactivity.on('featureClick', (event) => {\n", " updatePopup(map, clickPopup, event, attrs);\n", " hoverPopup.remove();\n", " });\n", " }\n", "\n", " function setPopupsHover(map, hoverPopup, interactivity, attrs) {\n", " interactivity.on('featureHover', (event) => {\n", " updatePopup(map, hoverPopup, event, attrs);\n", " });\n", " }\n", "\n", " function updatePopup(map, popup, event, attrs) {\n", " if (event.features.length > 0) {\n", " let popupHTML = '';\n", " const layerIDs = [];\n", "\n", " for (const feature of event.features) {\n", " if (layerIDs.includes(feature.layerId)) {\n", " continue;\n", " }\n", " // Track layers to add only one feature per layer\n", " layerIDs.push(feature.layerId);\n", "\n", " for (const item of attrs) {\n", " const variable = feature.variables[item.name];\n", " if (variable) {\n", " let value = variable.value;\n", " value = formatter(value, item.format);\n", "\n", " popupHTML = `\n", " <span class=&quot;popup-name&quot;>${item.title}</span>\n", " <span class=&quot;popup-value&quot;>${value}</span>\n", " ` + popupHTML;\n", " }\n", " }\n", " }\n", "\n", " if (popupHTML) {\n", " popup\n", " .setLngLat([event.coordinates.lng, event.coordinates.lat])\n", " .setHTML(`<div class=&quot;popup-content&quot;>${popupHTML}</div>`);\n", "\n", " if (!popup.isOpen()) {\n", " popup.addTo(map);\n", " }\n", " } else {\n", " popup.remove();\n", " }\n", " } else {\n", " popup.remove();\n", " }\n", " }\n", "\n", " function setInteractivity(map, interactiveLayers, interactiveMapLayers) {\n", " const interactivity = new carto.Interactivity(interactiveMapLayers);\n", "\n", " const clickPopup = new mapboxgl.Popup({\n", " closeButton: true,\n", " closeOnClick: false\n", " });\n", "\n", " const hoverPopup = new mapboxgl.Popup({\n", " closeButton: false,\n", " closeOnClick: false\n", " });\n", "\n", " const { clickAttrs, hoverAttrs } = _setInteractivityAttrs(interactiveLayers);\n", "\n", " resetPopupClick(map);\n", " resetPopupHover(map);\n", "\n", " if (clickAttrs.length > 0) {\n", " setPopupsClick(map, clickPopup, hoverPopup, interactivity, clickAttrs);\n", " }\n", "\n", " if (hoverAttrs.length > 0) {\n", " setPopupsHover(map, hoverPopup, interactivity, hoverAttrs);\n", " }\n", " }\n", "\n", " function _setInteractivityAttrs(interactiveLayers) {\n", " let clickAttrs = [];\n", " let hoverAttrs = [];\n", "\n", " interactiveLayers.forEach((interactiveLayer) => {\n", " interactiveLayer.interactivity.forEach((interactivityDef) => {\n", " if (interactivityDef.event === 'click') {\n", " clickAttrs = clickAttrs.concat(interactivityDef.attrs);\n", " } else if (interactivityDef.event === 'hover') {\n", " hoverAttrs = hoverAttrs.concat(interactivityDef.attrs);\n", " }\n", " });\n", " });\n", "\n", " return { clickAttrs, hoverAttrs };\n", " }\n", "\n", " function renderWidget(widget, value) {\n", " widget.element = widget.element || document.querySelector(`#${widget.id}-value`);\n", "\n", " if (value && widget.element) {\n", " widget.element.innerText = typeof value === 'number' ? formatter(value, widget.options.format) : value;\n", " }\n", " }\n", "\n", " function renderBridge(bridge, widget, mapLayer) {\n", " widget.element = widget.element || document.querySelector(`#${widget.id}`);\n", "\n", " switch (widget.type) {\n", " case 'histogram':\n", " const type = _getWidgetType(mapLayer, widget.value, widget.prop);\n", " const histogram = type === 'category' ? 'categoricalHistogram' : 'numericalHistogram';\n", " bridge[histogram](widget.element, widget.value, widget.options);\n", " break;\n", " case 'category':\n", " bridge.category(widget.element, widget.value, widget.options);\n", " break;\n", " case 'animation':\n", " widget.options.propertyName = widget.prop;\n", " bridge.animationControls(widget.element, widget.value, widget.options);\n", " break;\n", " case 'time-series':\n", " widget.options.propertyName = widget.prop;\n", " bridge.timeSeries(widget.element, widget.value, widget.options);\n", " break;\n", " }\n", " }\n", "\n", " function bridgeLayerWidgets(map, mapLayer, mapSource, widgets) {\n", " const bridge = new AsBridge.VL.Bridge({\n", " carto: carto,\n", " layer: mapLayer,\n", " source: mapSource,\n", " map: map\n", " });\n", "\n", " widgets\n", " .filter((widget) => widget.has_bridge)\n", " .forEach((widget) => renderBridge(bridge, widget, mapLayer));\n", "\n", " bridge.build();\n", " }\n", "\n", " function _getWidgetType(layer, property, value) {\n", " return layer.metadata && layer.metadata.properties[value] ?\n", " layer.metadata.properties[value].type\n", " : _getWidgetPropertyType(layer, property);\n", " }\n", "\n", " function _getWidgetPropertyType(layer, property) {\n", " return layer.metadata && layer.metadata.properties[property] ?\n", " layer.metadata.properties[property].type\n", " : null;\n", " }\n", "\n", " function createLegends(layer, legends, layerIndex, mapIndex=0) {\n", " if (legends.length) {\n", " legends.forEach((legend, legendIndex) => _createLegend(layer, legend, layerIndex, legendIndex, mapIndex));\n", " } else {\n", " _createLegend(layer, legends, layerIndex, 0, mapIndex);\n", " }\n", " }\n", "\n", " function _createLegend(layer, legend, layerIndex, legendIndex, mapIndex=0) {\n", " const element = document.querySelector(`#layer${layerIndex}_map${mapIndex}_legend${legendIndex}`);\n", "\n", " if (legend.prop) {\n", " const othersLabel = 'Others'; // TODO: i18n\n", " const prop = legend.prop;\n", " const dynamic = legend.dynamic;\n", " const order = legend.ascending ? 'ASC' : 'DESC';\n", " const variable = legend.variable;\n", " const config = { othersLabel, variable, order };\n", " const formatFunc = (value) => formatter(value, legend.format);\n", " const options = { format: formatFunc, config, dynamic };\n", "\n", " if (legend.type.startsWith('size-continuous')) {\n", " config.samples = 4;\n", " }\n", "\n", " AsBridge.VL.Legends.rampLegend(element, layer, prop, options);\n", " }\n", " }\n", "\n", " function SourceFactory() {\n", " const sourceTypes = { GeoJSON, Query, MVT };\n", "\n", " this.createSource = (layer) => {\n", " return sourceTypes[layer.type](layer);\n", " };\n", " }\n", "\n", " function GeoJSON(layer) {\n", " const options = JSON.parse(JSON.stringify(layer.options));\n", " const data = _decodeJSONData(layer.data, layer.encode_data);\n", "\n", " return new carto.source.GeoJSON(data, options);\n", " }\n", "\n", " function Query(layer) {\n", " const auth = {\n", " username: layer.credentials.username,\n", " apiKey: layer.credentials.api_key || 'default_public'\n", " };\n", "\n", " const config = {\n", " serverURL: layer.credentials.base_url || `https://${layer.credentials.username}.carto.com/`\n", " };\n", "\n", " return new carto.source.SQL(layer.data, auth, config);\n", " }\n", "\n", " function MVT(layer) {\n", " return new carto.source.MVT(layer.data.file, JSON.parse(layer.data.metadata));\n", " }\n", "\n", " function _decodeJSONData(data, encodeData) {\n", " try {\n", " if (encodeData) {\n", " const decodedJSON = pako.inflate(atob(data), { to: 'string' });\n", " return JSON.parse(decodedJSON);\n", " } else {\n", " return JSON.parse(data);\n", " }\n", " } catch(error) {\n", " throw new Error(`\n", " Error: &quot;${error}&quot;. CARTOframes is not able to parse your local data because it is too large.\n", " Please, disable the data compresion with encode_data=False in your Layer class.\n", " `);\n", " }\n", " }\n", "\n", " const factory = new SourceFactory();\n", "\n", " function initMapLayer(layer, layerIndex, numLayers, hasLegends, map, mapIndex) {\n", " const mapSource = factory.createSource(layer);\n", " const mapViz = new carto.Viz(layer.viz);\n", " const mapLayer = new carto.Layer(`layer${layerIndex}`, mapSource, mapViz);\n", " const mapLayerIndex = numLayers - layerIndex - 1;\n", "\n", " try {\n", " mapLayer._updateLayer.catch(displayError);\n", " } catch (e) {\n", " throw e;\n", " }\n", "\n", " mapLayer.addTo(map);\n", "\n", " setLayerLegend(layer, mapLayerIndex, mapLayer, mapIndex, hasLegends);\n", " setLayerWidgets(map, layer, mapLayer, mapLayerIndex, mapSource);\n", "\n", " return mapLayer;\n", " }\n", "\n", " function getInteractiveLayers(layers, mapLayers) {\n", " const interactiveLayers = [];\n", " const interactiveMapLayers = [];\n", "\n", " layers.forEach((layer, index) => {\n", " if (layer.interactivity) {\n", " interactiveLayers.push(layer);\n", " interactiveMapLayers.push(mapLayers[index]);\n", " }\n", " });\n", "\n", " return { interactiveLayers, interactiveMapLayers };\n", " }\n", "\n", " function setLayerLegend(layer, mapLayerIndex, mapLayer, mapIndex, hasLegends) {\n", " if (hasLegends && layer.legends) {\n", " createLegends(mapLayer, layer.legends, mapLayerIndex, mapIndex);\n", " }\n", " }\n", "\n", " function setLayerWidgets(map, layer, mapLayer, mapLayerIndex, mapSource) {\n", " if (layer.widgets.length) {\n", " initLayerWidgets(layer.widgets, mapLayerIndex);\n", " updateLayerWidgets(layer.widgets, mapLayer);\n", " bridgeLayerWidgets(map, mapLayer, mapSource, layer.widgets);\n", " }\n", " }\n", "\n", " function initLayerWidgets(widgets, mapLayerIndex) {\n", " widgets.forEach((widget, widgetIndex) => {\n", " const id = `layer${mapLayerIndex}_widget${widgetIndex}`;\n", " widget.id = id;\n", " });\n", " }\n", "\n", " function updateLayerWidgets(widgets, mapLayer) {\n", " mapLayer.on('updated', () => renderLayerWidgets(widgets, mapLayer));\n", " }\n", "\n", " function renderLayerWidgets(widgets, mapLayer) {\n", " const variables = mapLayer.viz.variables;\n", "\n", " widgets\n", " .filter((widget) => !widget.has_bridge)\n", " .forEach((widget) => {\n", " const name = widget.variable_name;\n", " const value = getWidgetValue(name, variables);\n", " renderWidget(widget, value);\n", " });\n", " }\n", "\n", " function getWidgetValue(name, variables) {\n", " return name && variables[name] ? variables[name].value : null;\n", " }\n", "\n", " function setReady(settings) {\n", " try {\n", " return settings.maps ? initMaps(settings.maps) : initMap(settings);\n", " } catch (e) {\n", " displayError(e);\n", " }\n", " }\n", "\n", " function initMaps(maps) {\n", " return maps.map((mapSettings, mapIndex) => {\n", " return initMap(mapSettings, mapIndex);\n", " });\n", " }\n", "\n", " function initMap(settings, mapIndex) {\n", " const basecolor = getBasecolorSettings(settings.basecolor);\n", " const basemapStyle = BASEMAPS[settings.basemap] || settings.basemap || basecolor;\n", " const container = mapIndex !== undefined ? `map-${mapIndex}` : 'map';\n", " const map = createMap(container, basemapStyle, settings.bounds, settings.mapboxtoken);\n", "\n", " if (settings.show_info) {\n", " const id = mapIndex !== undefined ? `map-info-${mapIndex}` : 'map-info';\n", " updateViewport(id, map);\n", " }\n", "\n", " if (settings.camera) {\n", " map.flyTo(settings.camera);\n", " }\n", "\n", " return initLayers(map, settings, mapIndex);\n", " }\n", "\n", " function initLayers(map, settings, mapIndex) {\n", " const numLayers = settings.layers.length;\n", " const hasLegends = settings.has_legends;\n", " const isStatic = settings.is_static;\n", " const layers = settings.layers;\n", " const mapLayers = getMapLayers(\n", " layers,\n", " numLayers,\n", " hasLegends,\n", " map,\n", " mapIndex\n", " );\n", "\n", " if (settings.layer_selector) {\n", " addLayersSelector(layers.reverse(), mapLayers.reverse(), mapIndex);\n", " }\n", "\n", " setInteractiveLayers(map, layers, mapLayers);\n", "\n", " return waitForMapLayersLoad(isStatic, mapIndex, mapLayers);\n", " }\n", "\n", " function waitForMapLayersLoad(isStatic, mapIndex, mapLayers) {\n", " return new Promise((resolve) => {\n", " carto.on('loaded', mapLayers, onMapLayersLoaded.bind(\n", " this, isStatic, mapIndex, mapLayers, resolve)\n", " );\n", " });\n", " }\n", "\n", " function onMapLayersLoaded(isStatic, mapIndex, mapLayers, resolve) {\n", " if (isStatic) {\n", " saveImage(mapIndex);\n", " }\n", "\n", " resolve(mapLayers);\n", " }\n", "\n", " function getMapLayers(layers, numLayers, hasLegends, map, mapIndex) {\n", " return layers.map((layer, layerIndex) => {\n", " return initMapLayer(layer, layerIndex, numLayers, hasLegends, map, mapIndex);\n", " });\n", " }\n", "\n", " function setInteractiveLayers(map, layers, mapLayers) {\n", " const { interactiveLayers, interactiveMapLayers } = getInteractiveLayers(layers, mapLayers);\n", "\n", " if (interactiveLayers && interactiveLayers.length > 0) {\n", " setInteractivity(map, interactiveLayers, interactiveMapLayers);\n", " }\n", " }\n", "\n", " function addLayersSelector(layers, mapLayers, mapIndex) {\n", " const layerSelectorId = mapIndex !== undefined ? `#layer-selector-${mapIndex}` : '#layer-selector';\n", " const layerSelector$ = document.querySelector(layerSelectorId);\n", " const layersInfo = mapLayers.map((layer, index) => {\n", " return {\n", " title: layers[index].title || `Layer ${index}`,\n", " id: layer.id,\n", " checked: true\n", " };\n", " });\n", "\n", " const layerSelector = new AsBridge.VL.Layers(layerSelector$, carto, layersInfo, mapLayers);\n", "\n", " layerSelector.build();\n", " }\n", "\n", " function createMap(container, basemapStyle, bounds, accessToken) {\n", " const map = createMapboxGLMap(container, basemapStyle, accessToken);\n", "\n", " map.addControl(attributionControl);\n", " map.fitBounds(bounds, FIT_BOUNDS_SETTINGS);\n", "\n", " return map;\n", " }\n", "\n", " function createMapboxGLMap(container, style, accessToken) {\n", " if (accessToken) {\n", " mapboxgl.accessToken = accessToken;\n", " }\n", "\n", " return new mapboxgl.Map({\n", " container,\n", " style,\n", " zoom: 9,\n", " dragRotate: false,\n", " attributionControl: false\n", " });\n", " }\n", "\n", " function init(settings) {\n", " setReady(settings);\n", " }\n", "\n", " return init;\n", "\n", "}());\n", "</script>\n", "<script>\n", " document\n", " .querySelector('as-responsive-content')\n", " .addEventListener('ready', () => {\n", " const basecolor = '';\n", " const basemap = 'Positron';\n", " const bounds = [[-180, -90], [180, 83.64513000000001]];\n", " const camera = null;\n", " const has_legends = 'true' === 'true';\n", " const is_static = 'None' === 'true';\n", " const layer_selector = 'true' === 'true';\n", " const layers = [{&quot;credentials&quot;: {&quot;api_key&quot;: &quot;default_public&quot;, &quot;base_url&quot;: &quot;https://cartoframes.carto.com&quot;, &quot;username&quot;: &quot;cartoframes&quot;}, &quot;data&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;countries\\&quot;&quot;, &quot;encode_data&quot;: true, &quot;has_legend_list&quot;: true, &quot;interactivity&quot;: [], &quot;legends&quot;: [{&quot;ascending&quot;: false, &quot;description&quot;: &quot;&quot;, &quot;dynamic&quot;: true, &quot;footer&quot;: &quot;&quot;, &quot;format&quot;: null, &quot;prop&quot;: null, &quot;title&quot;: &quot;&quot;, &quot;type&quot;: &quot;default&quot;, &quot;variable&quot;: &quot;&quot;}], &quot;map_index&quot;: 0, &quot;options&quot;: {}, &quot;source&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;countries\\&quot;&quot;, &quot;title&quot;: &quot;Countries&quot;, &quot;type&quot;: &quot;Query&quot;, &quot;viz&quot;: &quot;color: hex(\\&quot;#826DBA\\&quot;)\\nstrokeColor: opacity(#2c2c2c,ramp(linear(zoom(),2,18),[0.2,0.6]))\\nstrokeWidth: ramp(linear(zoom(),2,18),[0.5,1])\\n&quot;, &quot;widgets&quot;: []}, {&quot;credentials&quot;: {&quot;api_key&quot;: &quot;default_public&quot;, &quot;base_url&quot;: &quot;https://cartoframes.carto.com&quot;, &quot;username&quot;: &quot;cartoframes&quot;}, &quot;data&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;global_power_plants\\&quot;&quot;, &quot;encode_data&quot;: true, &quot;has_legend_list&quot;: true, &quot;interactivity&quot;: [], &quot;legends&quot;: [{&quot;ascending&quot;: false, &quot;description&quot;: &quot;&quot;, &quot;dynamic&quot;: true, &quot;footer&quot;: &quot;&quot;, &quot;format&quot;: null, &quot;prop&quot;: null, &quot;title&quot;: &quot;&quot;, &quot;type&quot;: &quot;default&quot;, &quot;variable&quot;: &quot;&quot;}], &quot;map_index&quot;: 0, &quot;options&quot;: {}, &quot;source&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;global_power_plants\\&quot;&quot;, &quot;title&quot;: &quot;Global Power Plants&quot;, &quot;type&quot;: &quot;Query&quot;, &quot;viz&quot;: &quot;color: hex(\\&quot;#EE4D5A\\&quot;)\\nstrokeColor: opacity(#222,ramp(linear(zoom(),0,18),[0,0.6]))\\nstrokeWidth: ramp(linear(zoom(),0,18),[0,1])\\nwidth: ramp(linear(zoom(),0,18),[2,10])\\n&quot;, &quot;widgets&quot;: []}, {&quot;credentials&quot;: {&quot;api_key&quot;: &quot;default_public&quot;, &quot;base_url&quot;: &quot;https://cartoframes.carto.com&quot;, &quot;username&quot;: &quot;cartoframes&quot;}, &quot;data&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;world_rivers\\&quot;&quot;, &quot;encode_data&quot;: true, &quot;has_legend_list&quot;: true, &quot;interactivity&quot;: [], &quot;legends&quot;: [{&quot;ascending&quot;: false, &quot;description&quot;: &quot;&quot;, &quot;dynamic&quot;: true, &quot;footer&quot;: &quot;&quot;, &quot;format&quot;: null, &quot;prop&quot;: null, &quot;title&quot;: &quot;&quot;, &quot;type&quot;: &quot;default&quot;, &quot;variable&quot;: &quot;&quot;}], &quot;map_index&quot;: 0, &quot;options&quot;: {}, &quot;source&quot;: &quot;SELECT * FROM \\&quot;cartoframes\\&quot;.\\&quot;world_rivers\\&quot;&quot;, &quot;title&quot;: &quot;World Rivers&quot;, &quot;type&quot;: &quot;Query&quot;, &quot;viz&quot;: &quot;color: hex(\\&quot;#4CC8A3\\&quot;)\\nwidth: ramp(linear(zoom(),0,18),[0.5,4])\\n&quot;, &quot;widgets&quot;: []}];\n", " const mapboxtoken = '';\n", " const show_info = 'None' === 'true';\n", "\n", " init({\n", " basecolor,\n", " basemap,\n", " bounds,\n", " camera,\n", " has_legends,\n", " is_static,\n", " layer_selector,\n", " layers,\n", " mapboxtoken,\n", " show_info\n", " });\n", "});\n", "</script>\n", "</html>\n", "\">\n", "\n", "</iframe>" ], "text/plain": [ "<cartoframes.viz.map.Map at 0x7ffb51b7ecd0>" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from cartoframes.viz import default_legend\n", "\n", "Map([\n", " Layer('countries', title='Countries', legends=default_legend()),\n", " Layer('global_power_plants', title='Global Power Plants', legends=default_legend()),\n", " Layer('world_rivers', title='World Rivers', legends=default_legend())\n", "], layer_selector=True)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.8.5" } }, "nbformat": 4, "nbformat_minor": 2 }
bsd-3-clause
kenjisato/intro-macro
doc/python/Optimal Growth (Euler).ipynb
1
85296
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Computing the Optimal Grwoth Model by the Euler Equation" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "%matplotlib inline\n", "import numpy as np\n", "import matplotlib.pyplot as plt" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Model\n", "\n", "Let's consider the optimal growth model,\n", "\n", "\\begin{align}\n", "\t&\\max\\int_{0}^{\\infty}e^{-\\rho t}u(c(t))dt \\\\\n", "\t&\\text{subject to} \\\\\n", "\t&\\qquad\\dot{k}(t)=f(k(t))-\\delta k(t)-c(t),\\\\\n", "\t&\\qquad k(0):\\text{ given.} \\\\\n", "\\end{align}\n", "\n", "We will assume the following specific function forms when necessary \n", "\n", "\\begin{align}\n", " u(c) &= \\frac{c^{1-\\theta}}{1-\\theta}, \\quad \\theta > 0, \\\\\n", " f(k) &= A k^\\alpha, \\quad 0 < \\alpha < 1, \\quad A > 0\n", "\\end{align}\n", "\n", "\n", "By using the Hamiltonian method, we have obtained the first-order dynamics of the economy\n", "\n", "\\begin{align}\n", " \\dot{c} &= \\theta^{-1} c [f'(k) - \\delta - \\rho] & \\text{(EE)} \\\\\n", " \\dot{k} &= f(k) - \\delta k - c. & \\text{(CA)}\n", "\\end{align}\n", "\n", "(EE) is the Euler equation and (CA) the capital accumulation equation. \n", "\n", "Let's draw the phase diagram on your computer." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## $\\dot c = 0$ locus (EE)\n", "\n", "$\\dot k = 0$ is equivalent to \n", "\n", "\\begin{align}\n", " f'(k) = \\delta + \\rho\n", "\\end{align}\n", "\n", "Thus, the locus is a vertical line which goes through $(k^*, 0)$, where $k^*$ is the unique value that satisfies $f'(k^*) = \\delta + \\rho$. Under the assumption that $f(k) = Ak^\\alpha$, \n", "\n", "\\begin{align}\n", " k^* = \\left(\\frac{\\delta + \\rho}{A \\alpha}\\right)^\\frac{1}{\\alpha - 1}\n", "\\end{align}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## $\\dot k = 0$ locus (CA)\n", "\n", "$\\dot k = 0$ is equivalent to \n", "\n", "\\begin{align}\n", " c = f(k) - \\delta k.\n", "\\end{align}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Code for the loci" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "alpha = 0.3\n", "delta = 0.05\n", "rho = 0.1\n", "theta = 1\n", "\n", "A = 1\n", "def f(x):\n", " return A * x**alpha" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYgAAAEQCAYAAACqduMIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nT4eVAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x105ae85f8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "kgrid = np.linspace(0.0, 7.5, 300)\n", "\n", "fig, ax = plt.subplots(1,1)\n", "\n", "# Locus obtained from (EE)\n", "kstar = ((delta + rho) / (A * alpha)) ** (1/(alpha - 1))\n", "ax.axvline(kstar)\n", "ax.text(kstar*1.01, 0.1, '$\\dot c = 0$', fontsize=16)\n", "\n", "# Locus obtained from (CA)\n", "ax.plot(kgrid, f(kgrid) - delta * kgrid)\n", "ax.text(4, 1.06*(f(4) - delta * 4), '$\\dot k = 0$', fontsize=16)\n", "\n", "# axis labels\n", "ax.set_xlabel('$k$', fontsize=16)\n", "ax.set_ylabel('$c$', fontsize=16)\n", "ax.set_ylim([0.0, 1.8 * np.max(f(kgrid) - delta*kgrid)])\n", "\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "What we want to do is to draw paths on this phase space. It is convenient to have a function that returns this kind of figure. " ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def phase_space(kmax, gridnum, yamp=1.8, colors=['black', 'black'], labels_on=False):\n", "\n", " kgrid = np.linspace(0.0, kmax, gridnum)\n", "\n", " fig, ax = plt.subplots(1,1)\n", "\n", " # EE locus\n", " ax.plot(kgrid, f(kgrid) - delta * kgrid, color=colors[0])\n", " if labels_on:\n", " ax.text(4, f(4) - delta * 4, '$\\dot k = 0$', fontsize=16)\n", " \n", "\n", " # CA locus\n", " kstar = ((delta + rho) / (A * alpha)) ** (1/(alpha - 1))\n", " ax.axvline(kstar, color=colors[1])\n", " if labels_on:\n", " ax.text(kstar*1.01, 0.1, '$\\dot c = 0$', fontsize=16)\n", "\n", " # axis labels\n", " ax.set_xlabel('$k$', fontsize=16)\n", " ax.set_ylabel('$c$', fontsize=16)\n", " \n", " ax.set_ylim([0.0, yamp * np.max(f(kgrid) - delta*kgrid)])\n", "\n", " return fig, ax" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "You can draw the loci by calling the function as in the following." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYgAAAEQCAYAAACqduMIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngSgIAEAgCgIAEIiCAAAEoiAAAIH+H4IsMu012TrsAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10ee86080>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = phase_space(kmax=7, gridnum=300)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## The dynamics" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Discretize \n", "\n", "\\begin{align}\n", " \\dot{c} &= \\theta^{-1} c [f'(k) - \\delta - \\rho] & \\text{(EE)} \\\\\n", " \\dot{k} &= f(k) - \\delta k - c. & \\text{(CA)}\n", "\\end{align}\n", "\n", "to get the discretized dynamic equations:\n", "\n", "\\begin{align}\n", " c(t+\\Delta t) &= c(t)\\{1 + \\theta^{-1} [f'(k(t)) - \\delta - \\rho] \\Delta t\\}& \\text{(D-EE)} \\\\\n", " k(t+\\Delta t) &= k(t) + \\{f(k(t)) - \\delta k(t) - c(t)\\} \\Delta t. & \\text{(D-CA)}\n", "\\end{align}" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[<matplotlib.lines.Line2D at 0x10eecc7b8>]" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYsAAAEQCAYAAABBQVgLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10eecc208>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "dt = 0.001\n", "\n", "def f_deriv(k):\n", " \"\"\"derivative of f\"\"\"\n", " return A * alpha * k ** (alpha - 1)\n", "\n", "def update(k, c):\n", " cnew = c * (1 + (f_deriv(k) - delta - rho) * dt / theta) # D-EE\n", " knew = k + (f(k) - delta * k - c) * dt\n", " return knew, cnew\n", "\n", "\n", "k_initial, c_guess = 0.4, 0.2\n", "\n", "# Find a first-order path from the initial condition k0 and guess of c0\n", "k0, c0 = k_initial, c_guess\n", "\n", "k, c = [k0], [c0]\n", "\n", "for i in range(10000):\n", " knew, cnew = update(k[-1], c[-1])\n", " k.append(knew)\n", " c.append(cnew)\n", " \n", "kgrid = np.linspace(0.0, 10., 300)\n", "\n", "fig, ax = phase_space(10., 300)\n", "ax.plot(k, c)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The blue curve shows the dynamic path of the system of differential equation. The solution moves from left to right in this case. This path doesn't seem to satisfy the transversality condition and so it's not the optimal path.\n", "\n", "\n", "What we do next is to find $c(0)$ that converges to the steady state. I will show you how to do this by “brute force.”\n", "\n", "Make many guesses about $c(0)$ and find the solution. We need to make a function to create a path that starts from $(k(0), c(0))$ and verify whether or not it's approaching to the steady state." ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def compute_path(k0, c_guess, steps, ax=None, output=True):\n", " \"\"\"compute a path starting from (k0, c_guess) that satisfies EE and CA\"\"\"\n", " \n", " k, c = [k0], [c_guess]\n", " for i in range(steps):\n", " knew, cnew = update(k[-1], c[-1])\n", " \n", " # stop if the new values violate nonnegativity constraints\n", " if knew < 0:\n", " break\n", " if cnew < 0:\n", " break\n", " \n", " k.append(knew)\n", " c.append(cnew)\n", " \n", " # plot the path if ax is given\n", " if ax is not None:\n", " ax.plot(k, c)\n", " \n", " # You may want to suppress the output when you give ax.\n", " if output:\n", " return k, c" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Typical usage: " ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYsAAAEQCAYAAABBQVgLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nOS02nAAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10f0c22e8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "k_init = 0.4\n", "steps = 30000\n", "\n", "fig, ax = phase_space(40, 3000)\n", "\n", "for c_init in [0.1, 0.2, 0.3, 0.4, 0.5]:\n", " compute_path(k_init, c_init, steps, ax, output=False)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's find the optimal path. The following code makes a plot that relates a guess of $c(0)$ to the final $c(t)$ and $k(t)$ for large $t$. " ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.legend.Legend at 0x10f0a4a20>" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXkAAAEACAYAAABWLgY0AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nMBq4hQAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10bcb0b00>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "k_init = 0.4\n", "steps = 30000\n", "\n", "# set of guesses about c(0)\n", "c_guess = np.linspace(0.40, 0.50, 1000)\n", "\n", "k_final = []\n", "c_final = []\n", "for c0 in c_guess:\n", " k, c = compute_path(k_init, c0, steps, output=True)\n", " \n", " # Final values\n", " k_final.append(k[-1])\n", " c_final.append(c[-1])\n", " \n", "plt.plot(c_guess, k_final, label='lim k')\n", "plt.plot(c_guess, c_final, label='lim c')\n", "plt.legend()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "As you can clearly see, there is a critical value around 0.41. To know the exact value of the threshold, execute the following code." ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0.41601601601601601" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdiff = [c1 - c0 for c0, c1 in zip(c_final[:-1], c_final[1:])]\n", "c_optimal = c_guess[cdiff.index(max(cdiff))]\n", "c_optimal" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYgAAAEQCAYAAACqduMIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nJND/B7IROy+sn3bzAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10c26c6a0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = phase_space(7.5, 300)\n", "compute_path(k_init, c_optimal, steps=15000, ax=ax, output=False)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "It still doesn't converge, which you can see if you make step size larger. \n", "\n", "As a matter of fact this is the limitation of the method presented here. Moving along the optimal path is like walking on a narrow ridge of a mountain. A simulation of the optimal path as above is like rolling a pebble along the ridge. Even if you made the best shot, it would certainly fall down to the lower ground because of a small misstep or of bumpy surface; in computer simulation such errors are inevitable due to the internal representation (approximation) of real numbers.\n", "\n", "You don't want to use it in practice.\n", "\n", "Instead, we usually employ the dynamic programing approach for which stability of the algorithm is known. That is beyond the scope of this course." ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [Root]", "language": "python", "name": "Python [Root]" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
ccasotto/rmtk
rmtk/vulnerability/derivation_fragility/equivalent_linearization/lin_miranda_2008/lin_miranda_2008.ipynb
2
12031
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Lin and Miranda (2008)\n", "\n", "This method, described in [Lin and Miranda (2008)](http://dx.doi.org/10.1061/%28ASCE%290733-9445%282008%29134:11%281685%29), estimates the maximum inelastic displacement of an existing structure based on the maximum elastic displacement response of its equivalent linear system without the need of iterations, based on the strength ratio. The equivalent linear system has a longer period of vibration and a higher viscous damping than the original system. The estimation of these parameters is based on the strength ratio $R$.\n", "\n", "**Note**: To run the code in a cell:\n", "\n", "1. Click on the cell to select it.\n", "2. Press `SHIFT+ENTER` on your keyboard or press the play button (<button class='fa fa-play icon-play btn btn-xs btn-default'></button>) in the toolbar above." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import lin_miranda_2008\n", "from rmtk.vulnerability.common import utils\n", "%matplotlib inline " ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "---\n", "### Load capacity curves\n", "\n", "In order to use this methodology, it is necessary to provide one (or a group) of capacity curves, defined according to the format described in the [RMTK manual](../../../../../rmtk-docs.pdf).\n", "\n", "Please provide the location of the file containing the capacity curves using the parameter `capacity_curves_file`." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "capacity_curves_file = \"../../../../../../rmtk_data/capacity_curves_Sa-Sd.csv\"" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "capacity_curves = utils.read_capacity_curves(capacity_curves_file)\n", "utils.plot_capacity_curves(capacity_curves)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Load ground motion records\n", "\n", "Please indicate the path to the folder containing the ground motion records to be used in the analysis through the parameter `gmrs_folder`.\n", "\n", "**Note**: Each accelerogram needs to be in a separate CSV file as described in the [RMTK manual](../../../../../rmtk-docs.pdf).\n", "\n", "The parameters `minT` and `maxT` are used to define the period bounds when plotting the spectra for the provided ground motion fields." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "gmrs_folder = \"../../../../../../rmtk_data/accelerograms\"\n", "gmrs = utils.read_gmrs(gmrs_folder)\n", "minT, maxT = 0.1, 2.0\n", "utils.plot_response_spectra(gmrs, minT, maxT)" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Load damage state thresholds\n", "\n", "Please provide the path to your damage model file using the parameter `damage_model_file` in the cell below.\n", "\n", "The damage types currently supported are: `capacity curve dependent`, `spectral displacement` and `interstorey drift`. If the damage model type is `interstorey drift` the user can provide the pushover curve in terms of Vb-dfloor to be able to convert interstorey drift limit states to roof displacements and spectral displacements, otherwise a linear relationship is assumed." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "damage_model_file = \"../../../../../../rmtk_data/damage_model.csv\"" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "damage_model = utils.read_damage_model(damage_model_file)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Obtain the damage probability matrix" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "PDM, Sds = lin_miranda_2008.calculate_fragility(capacity_curves, gmrs, damage_model)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Fit lognormal CDF fragility curves\n", "\n", "The following parameters need to be defined in the cell below in order to fit lognormal CDF fragility curves to the damage probability matrix obtained above:\n", "1. `IMT`: This parameter specifies the intensity measure type to be used. Currently supported options are `\"PGA\"`, `\"Sd\"` and `\"Sa\"`.\n", "2. `period`: This parameter defines the time period of the fundamental mode of vibration of the structure.\n", "3. `damping_ratio`: This parameter defines the damping ratio for the structure.\n", "4. `regression_method`: This parameter defines the regression method to be used for estimating the parameters of the fragility functions. The valid options are \"least squares\" and \"max likelihood\"." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "IMT = \"Sd\"\n", "period = 2.0\n", "damping_ratio = 0.05\n", "regression_method = \"max likelihood\"" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "fragility_model = utils.calculate_mean_fragility(gmrs, PDM, period, damping_ratio, \n", " IMT, damage_model, regression_method)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Plot fragility functions\n", "The following parameters need to be defined in the cell below in order to plot the lognormal CDF fragility curves obtained above:\n", "* `minIML` and `maxIML`: These parameters define the limits of the intensity measure level for plotting the functions" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "minIML, maxIML = 0.01, 2.00" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [], "source": [ "utils.plot_fragility_model(fragility_model, minIML, maxIML)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Save fragility functions\n", "\n", "The derived parametric fragility functions can be saved to a file in either CSV format or in the NRML format that is used by all OpenQuake input models. The following parameters need to be defined in the cell below in order to save the lognormal CDF fragility curves obtained above:\n", "1. `taxonomy`: This parameter specifies a taxonomy string for the the fragility functions.\n", "2. `minIML` and `maxIML`: These parameters define the bounds of applicability of the functions.\n", "3. `output_type`: This parameter specifies the file format to be used for saving the functions. Currently, the formats supported are \"csv\" and \"nrml\"." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "taxonomy = \"RC\"\n", "minIML, maxIML = 0.01, 2.00\n", "output_type = \"nrml\"\n", "output_path = \"../../../../../../rmtk_data/output/\"" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "utils.save_mean_fragility(taxonomy, fragility_model, minIML, maxIML, output_type, output_path)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Obtain vulnerability function\n", "\n", "A vulnerability model can be derived by combining the set of fragility functions obtained above with a consequence model. In this process, the fractions of buildings in each damage state are multiplied by the associated damage ratio from the consequence model, in order to obtain a distribution of loss ratio for each intensity measure level. \n", "\n", "The following parameters need to be defined in the cell below in order to calculate vulnerability functions using the above derived fragility functions:\n", "1. `cons_model_file`: This parameter specifies the path of the consequence model file.\n", "2. `imls`: This parameter specifies a list of intensity measure levels in increasing order at which the distribution of loss ratios are required to be calculated.\n", "3. `distribution_type`: This parameter specifies the type of distribution to be used for calculating the vulnerability function. The distribution types currently supported are \"lognormal\", \"beta\", and \"PMF\"." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "cons_model_file = \"../../../../../../rmtk_data/cons_model.csv\"\n", "imls = [0.05, 0.10, 0.15, 0.20, 0.25, 0.30, 0.35, 0.40, 0.45, 0.50, \n", " 0.60, 0.70, 0.80, 0.90, 1.00, 1.20, 1.40, 1.60, 1.80, 2.00]\n", "distribution_type = \"lognormal\"" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "cons_model = utils.read_consequence_model(cons_model_file)\n", "vulnerability_model = utils.convert_fragility_vulnerability(fragility_model, cons_model, \n", " imls, distribution_type)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Plot vulnerability function" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "utils.plot_vulnerability_model(vulnerability_model)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Save vulnerability function\n", "\n", "The derived parametric or nonparametric vulnerability function can be saved to a file in either CSV format or in the NRML format that is used by all OpenQuake input models. The following parameters need to be defined in the cell below in order to save the lognormal CDF fragility curves obtained above:\n", "1. `taxonomy`: This parameter specifies a taxonomy string for the the fragility functions.\n", "3. `output_type`: This parameter specifies the file format to be used for saving the functions. Currently, the formats supported are \"csv\" and \"nrml\"." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "taxonomy = \"RC\"\n", "output_type = \"nrml\"\n", "output_path = \"../../../../../../rmtk_data/output/\"" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "utils.save_vulnerability(taxonomy, vulnerability_model, output_type, output_path)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.10" } }, "nbformat": 4, "nbformat_minor": 0 }
agpl-3.0
GoogleCloudPlatform/asl-ml-immersion
notebooks/launching_into_ml/solutions/1_explore_data.ipynb
1
239477
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Explore and create ML datasets\n", "\n", "**Learning Objectives**\n", "* Access and explore a public BigQuery dataset on NYC Taxi Cab rides\n", "* Visualize your dataset using the Seaborn library\n", "* Inspect and clean-up the dataset for future ML model training\n", "* Create a benchmark to judge future ML model performance off of\n", "\n", "## Overview\n", "In this notebook, we will explore data corresponding to taxi rides in New York City to build a Machine Learning model in support of a fare-estimation tool. The idea is to suggest a likely fare to taxi riders so that they are not surprised, and so that they can protest if the charge is much higher than expected." ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "import matplotlib.pyplot as plt\n", "import numpy as np\n", "import pandas as pd\n", "import seaborn as sns\n", "from google.cloud import bigquery" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<h3> Extract sample data from BigQuery </h3>\n", "\n", "The dataset that we will use is <a href=\"https://bigquery.cloud.google.com/table/nyc-tlc:yellow.trips\">a BigQuery public dataset</a>. Click on the link, and look at the column names. Switch to the Details tab to verify that the number of records is one billion, and then switch to the Preview tab to look at a few rows.\n", "\n", "Let's write a SQL query to pick up interesting fields from the dataset. It's a good idea to get the timestamp in a predictable format." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "tags": [ "flake8-noqa-cell" ] }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Query complete after 0.01s: 100%|██████████| 2/2 [00:00<00:00, 668.41query/s] \n", "Downloading: 100%|██████████| 10/10 [00:01<00:00, 7.05rows/s]\n" ] }, { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pickup_datetime</th>\n", " <th>pickup_longitude</th>\n", " <th>pickup_latitude</th>\n", " <th>dropoff_longitude</th>\n", " <th>dropoff_latitude</th>\n", " <th>passenger_count</th>\n", " <th>trip_distance</th>\n", " <th>tolls_amount</th>\n", " <th>fare_amount</th>\n", " <th>total_amount</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>2010-03-21 16:54:43 UTC</td>\n", " <td>-73.973613</td>\n", " <td>40.792270</td>\n", " <td>-73.973670</td>\n", " <td>40.792168</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2010-02-09 06:48:04 UTC</td>\n", " <td>-73.776446</td>\n", " <td>40.646022</td>\n", " <td>-73.776446</td>\n", " <td>40.646022</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2015-02-08 14:23:56 UTC</td>\n", " <td>-73.937599</td>\n", " <td>40.758228</td>\n", " <td>-73.937614</td>\n", " <td>40.758259</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>2010-02-02 21:16:08 UTC</td>\n", " <td>-73.987630</td>\n", " <td>40.757960</td>\n", " <td>-73.984313</td>\n", " <td>40.768847</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>2010-03-19 21:18:36 UTC</td>\n", " <td>-73.984630</td>\n", " <td>40.758817</td>\n", " <td>-74.025551</td>\n", " <td>40.754651</td>\n", " <td>2</td>\n", " <td>34.5</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>2013-08-22 20:39:00 UTC</td>\n", " <td>-74.045757</td>\n", " <td>40.740010</td>\n", " <td>-74.045778</td>\n", " <td>40.740040</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>2015-02-02 19:22:39 UTC</td>\n", " <td>-73.937431</td>\n", " <td>40.758427</td>\n", " <td>-73.937691</td>\n", " <td>40.758064</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>2015-01-16 16:05:23 UTC</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>2010-02-15 10:55:12 UTC</td>\n", " <td>-73.871434</td>\n", " <td>40.771859</td>\n", " <td>-73.885401</td>\n", " <td>40.773195</td>\n", " <td>1</td>\n", " <td>1.4</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>2015-03-21 13:32:18 UTC</td>\n", " <td>-73.937622</td>\n", " <td>40.758221</td>\n", " <td>-73.937622</td>\n", " <td>40.758221</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " pickup_datetime pickup_longitude pickup_latitude \\\n", "0 2010-03-21 16:54:43 UTC -73.973613 40.792270 \n", "1 2010-02-09 06:48:04 UTC -73.776446 40.646022 \n", "2 2015-02-08 14:23:56 UTC -73.937599 40.758228 \n", "3 2010-02-02 21:16:08 UTC -73.987630 40.757960 \n", "4 2010-03-19 21:18:36 UTC -73.984630 40.758817 \n", "5 2013-08-22 20:39:00 UTC -74.045757 40.740010 \n", "6 2015-02-02 19:22:39 UTC -73.937431 40.758427 \n", "7 2015-01-16 16:05:23 UTC 0.000000 0.000000 \n", "8 2010-02-15 10:55:12 UTC -73.871434 40.771859 \n", "9 2015-03-21 13:32:18 UTC -73.937622 40.758221 \n", "\n", " dropoff_longitude dropoff_latitude passenger_count trip_distance \\\n", "0 -73.973670 40.792168 1 0.0 \n", "1 -73.776446 40.646022 1 0.0 \n", "2 -73.937614 40.758259 1 0.0 \n", "3 -73.984313 40.768847 1 0.0 \n", "4 -74.025551 40.754651 2 34.5 \n", "5 -74.045778 40.740040 1 0.0 \n", "6 -73.937691 40.758064 1 0.0 \n", "7 0.000000 0.000000 1 0.0 \n", "8 -73.885401 40.773195 1 1.4 \n", "9 -73.937622 40.758221 1 0.0 \n", "\n", " tolls_amount fare_amount total_amount \n", "0 0.0 0.0 0.0 \n", "1 0.0 0.0 0.0 \n", "2 0.0 0.0 0.0 \n", "3 0.0 0.0 0.0 \n", "4 0.0 0.0 0.0 \n", "5 0.0 0.0 0.0 \n", "6 0.0 0.0 0.0 \n", "7 0.0 0.0 0.0 \n", "8 0.0 0.0 0.0 \n", "9 0.0 0.0 0.0 " ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "%%bigquery\n", "SELECT\n", " FORMAT_TIMESTAMP( \"%Y-%m-%d %H:%M:%S %Z\", pickup_datetime) AS pickup_datetime,\n", " pickup_longitude,\n", " pickup_latitude,\n", " dropoff_longitude,\n", " dropoff_latitude,\n", " passenger_count,\n", " trip_distance,\n", " tolls_amount,\n", " fare_amount,\n", " total_amount\n", "FROM\n", " `nyc-tlc.yellow.trips`\n", "LIMIT\n", " 10" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's increase the number of records so that we can do some neat graphs. There is no guarantee about the order in which records are returned, and so no guarantee about which records get returned if we simply increase the LIMIT. To properly sample the dataset, let's use the HASH of the pickup time and return 1 in 100,000 records -- because there are 1 billion records in the data, we should get back approximately 10,000 records if we do this.\n", "\n", "We will also store the BigQuery result in a Pandas dataframe named \"trips\"" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "tags": [ "flake8-noqa-cell" ] }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Query complete after 0.00s: 100%|██████████| 2/2 [00:00<00:00, 737.52query/s] \n", "Downloading: 100%|██████████| 10789/10789 [00:01<00:00, 8560.25rows/s]\n" ] } ], "source": [ "%%bigquery trips\n", "SELECT\n", " FORMAT_TIMESTAMP( \"%Y-%m-%d %H:%M:%S %Z\", pickup_datetime) AS pickup_datetime,\n", " pickup_longitude,\n", " pickup_latitude,\n", " dropoff_longitude,\n", " dropoff_latitude,\n", " passenger_count,\n", " trip_distance,\n", " tolls_amount,\n", " fare_amount,\n", " total_amount\n", "FROM\n", " `nyc-tlc.yellow.trips`\n", "WHERE\n", " ABS(MOD(FARM_FINGERPRINT(CAST(pickup_datetime AS STRING)), 100000)) = 1" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "10789\n" ] } ], "source": [ "print(len(trips))" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pickup_datetime</th>\n", " <th>pickup_longitude</th>\n", " <th>pickup_latitude</th>\n", " <th>dropoff_longitude</th>\n", " <th>dropoff_latitude</th>\n", " <th>passenger_count</th>\n", " <th>trip_distance</th>\n", " <th>tolls_amount</th>\n", " <th>fare_amount</th>\n", " <th>total_amount</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>2012-03-30 18:28:20 UTC</td>\n", " <td>-73.976148</td>\n", " <td>40.776154</td>\n", " <td>-74.010156</td>\n", " <td>40.715113</td>\n", " <td>1</td>\n", " <td>5.70</td>\n", " <td>0.00</td>\n", " <td>17.3</td>\n", " <td>18.80</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2011-12-03 10:28:00 UTC</td>\n", " <td>-73.998822</td>\n", " <td>40.680933</td>\n", " <td>-73.968960</td>\n", " <td>40.757878</td>\n", " <td>1</td>\n", " <td>8.28</td>\n", " <td>0.00</td>\n", " <td>20.9</td>\n", " <td>25.58</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2012-05-05 22:46:05 UTC</td>\n", " <td>-74.009790</td>\n", " <td>40.712483</td>\n", " <td>-73.959293</td>\n", " <td>40.768908</td>\n", " <td>1</td>\n", " <td>5.20</td>\n", " <td>0.00</td>\n", " <td>16.9</td>\n", " <td>19.90</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>2010-12-21 13:08:00 UTC</td>\n", " <td>-73.982422</td>\n", " <td>40.739847</td>\n", " <td>-73.981658</td>\n", " <td>40.768732</td>\n", " <td>2</td>\n", " <td>2.64</td>\n", " <td>0.00</td>\n", " <td>14.9</td>\n", " <td>15.40</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>2014-10-06 15:16:00 UTC</td>\n", " <td>-73.980130</td>\n", " <td>40.760910</td>\n", " <td>-73.861730</td>\n", " <td>40.768330</td>\n", " <td>2</td>\n", " <td>11.47</td>\n", " <td>5.33</td>\n", " <td>36.5</td>\n", " <td>47.33</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>2014-12-08 21:50:00 UTC</td>\n", " <td>-73.870867</td>\n", " <td>40.773782</td>\n", " <td>-74.003297</td>\n", " <td>40.708215</td>\n", " <td>2</td>\n", " <td>11.81</td>\n", " <td>0.00</td>\n", " <td>33.5</td>\n", " <td>37.50</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>2010-05-26 16:15:03 UTC</td>\n", " <td>-74.002922</td>\n", " <td>40.714474</td>\n", " <td>-73.978505</td>\n", " <td>40.758280</td>\n", " <td>1</td>\n", " <td>6.10</td>\n", " <td>0.00</td>\n", " <td>20.9</td>\n", " <td>25.76</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>2014-05-17 15:15:00 UTC</td>\n", " <td>-73.999550</td>\n", " <td>40.760600</td>\n", " <td>-73.999650</td>\n", " <td>40.725220</td>\n", " <td>1</td>\n", " <td>5.57</td>\n", " <td>0.00</td>\n", " <td>31.0</td>\n", " <td>33.50</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>2013-12-09 15:03:00 UTC</td>\n", " <td>-73.990950</td>\n", " <td>40.749772</td>\n", " <td>-73.870807</td>\n", " <td>40.774070</td>\n", " <td>1</td>\n", " <td>9.44</td>\n", " <td>5.33</td>\n", " <td>29.0</td>\n", " <td>34.83</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>2014-12-08 21:50:00 UTC</td>\n", " <td>-73.994802</td>\n", " <td>40.720612</td>\n", " <td>-73.949125</td>\n", " <td>40.668893</td>\n", " <td>1</td>\n", " <td>5.33</td>\n", " <td>0.00</td>\n", " <td>22.0</td>\n", " <td>27.00</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " pickup_datetime pickup_longitude pickup_latitude \\\n", "0 2012-03-30 18:28:20 UTC -73.976148 40.776154 \n", "1 2011-12-03 10:28:00 UTC -73.998822 40.680933 \n", "2 2012-05-05 22:46:05 UTC -74.009790 40.712483 \n", "3 2010-12-21 13:08:00 UTC -73.982422 40.739847 \n", "4 2014-10-06 15:16:00 UTC -73.980130 40.760910 \n", "5 2014-12-08 21:50:00 UTC -73.870867 40.773782 \n", "6 2010-05-26 16:15:03 UTC -74.002922 40.714474 \n", "7 2014-05-17 15:15:00 UTC -73.999550 40.760600 \n", "8 2013-12-09 15:03:00 UTC -73.990950 40.749772 \n", "9 2014-12-08 21:50:00 UTC -73.994802 40.720612 \n", "\n", " dropoff_longitude dropoff_latitude passenger_count trip_distance \\\n", "0 -74.010156 40.715113 1 5.70 \n", "1 -73.968960 40.757878 1 8.28 \n", "2 -73.959293 40.768908 1 5.20 \n", "3 -73.981658 40.768732 2 2.64 \n", "4 -73.861730 40.768330 2 11.47 \n", "5 -74.003297 40.708215 2 11.81 \n", "6 -73.978505 40.758280 1 6.10 \n", "7 -73.999650 40.725220 1 5.57 \n", "8 -73.870807 40.774070 1 9.44 \n", "9 -73.949125 40.668893 1 5.33 \n", "\n", " tolls_amount fare_amount total_amount \n", "0 0.00 17.3 18.80 \n", "1 0.00 20.9 25.58 \n", "2 0.00 16.9 19.90 \n", "3 0.00 14.9 15.40 \n", "4 5.33 36.5 47.33 \n", "5 0.00 33.5 37.50 \n", "6 0.00 20.9 25.76 \n", "7 0.00 31.0 33.50 \n", "8 5.33 29.0 34.83 \n", "9 0.00 22.0 27.00 " ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# We can slice Pandas dataframes as if they were arrays\n", "trips[:10]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<h3> Exploring data </h3>\n", "\n", "Let's explore this dataset and clean it up as necessary. We'll use the Python Seaborn package to visualize graphs and Pandas to do the slicing and filtering." ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 720x576 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "ax = sns.regplot(\n", " x=\"trip_distance\",\n", " y=\"fare_amount\",\n", " fit_reg=False,\n", " ci=None,\n", " truncate=True,\n", " data=trips,\n", ")\n", "ax.figure.set_size_inches(10, 8)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Hmm ... do you see something wrong with the data that needs addressing?\n", "\n", "It appears that we have a lot of invalid data that is being coded as zero distance and some fare amounts that are definitely illegitimate. Let's remove them from our analysis. We can do this by modifying the BigQuery query to keep only trips longer than zero miles and fare amounts that are at least the minimum cab fare ($2.50).\n", "\n", "Note the extra WHERE clauses." ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "tags": [ "flake8-noqa-cell" ] }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Query complete after 0.00s: 100%|██████████| 2/2 [00:00<00:00, 767.63query/s] \n", "Downloading: 100%|██████████| 10716/10716 [00:00<00:00, 11760.19rows/s]\n" ] } ], "source": [ "%%bigquery trips\n", "SELECT\n", " FORMAT_TIMESTAMP( \"%Y-%m-%d %H:%M:%S %Z\", pickup_datetime) AS pickup_datetime,\n", " pickup_longitude,\n", " pickup_latitude,\n", " dropoff_longitude,\n", " dropoff_latitude,\n", " passenger_count,\n", " trip_distance,\n", " tolls_amount,\n", " fare_amount,\n", " total_amount\n", "FROM\n", " `nyc-tlc.yellow.trips`\n", "WHERE\n", " ABS(MOD(FARM_FINGERPRINT(CAST(pickup_datetime AS STRING)), 100000)) = 1\n", " AND trip_distance > 0\n", " AND fare_amount >= 2.5" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "10716\n" ] } ], "source": [ "print(len(trips))" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 720x576 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "ax = sns.regplot(\n", " x=\"trip_distance\",\n", " y=\"fare_amount\",\n", " fit_reg=False,\n", " ci=None,\n", " truncate=True,\n", " data=trips,\n", ")\n", "ax.figure.set_size_inches(10, 8)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "What's up with the streaks around 45 dollars and 50 dollars? Those are fixed-amount rides from JFK and La Guardia airports into anywhere in Manhattan, i.e. to be expected. Let's list the data to make sure the values look reasonable.\n", "\n", "Let's also examine whether the toll amount is captured in the total amount." ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pickup_datetime</th>\n", " <th>pickup_longitude</th>\n", " <th>pickup_latitude</th>\n", " <th>dropoff_longitude</th>\n", " <th>dropoff_latitude</th>\n", " <th>passenger_count</th>\n", " <th>trip_distance</th>\n", " <th>tolls_amount</th>\n", " <th>fare_amount</th>\n", " <th>total_amount</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>38</th>\n", " <td>2012-02-27 09:19:10 UTC</td>\n", " <td>-73.874431</td>\n", " <td>40.774011</td>\n", " <td>-73.983967</td>\n", " <td>40.744082</td>\n", " <td>1</td>\n", " <td>11.6</td>\n", " <td>4.8</td>\n", " <td>27.7</td>\n", " <td>38.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " pickup_datetime pickup_longitude pickup_latitude \\\n", "38 2012-02-27 09:19:10 UTC -73.874431 40.774011 \n", "\n", " dropoff_longitude dropoff_latitude passenger_count trip_distance \\\n", "38 -73.983967 40.744082 1 11.6 \n", "\n", " tolls_amount fare_amount total_amount \n", "38 4.8 27.7 38.0 " ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "tollrides = trips[trips[\"tolls_amount\"] > 0]\n", "tollrides[tollrides[\"pickup_datetime\"] == \"2012-02-27 09:19:10 UTC\"]" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pickup_datetime</th>\n", " <th>pickup_longitude</th>\n", " <th>pickup_latitude</th>\n", " <th>dropoff_longitude</th>\n", " <th>dropoff_latitude</th>\n", " <th>passenger_count</th>\n", " <th>trip_distance</th>\n", " <th>tolls_amount</th>\n", " <th>fare_amount</th>\n", " <th>total_amount</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>58</th>\n", " <td>2012-02-27 09:19:10 UTC</td>\n", " <td>-73.972311</td>\n", " <td>40.753067</td>\n", " <td>-73.957389</td>\n", " <td>40.817824</td>\n", " <td>1</td>\n", " <td>5.6</td>\n", " <td>0.0</td>\n", " <td>16.9</td>\n", " <td>22.62</td>\n", " </tr>\n", " <tr>\n", " <th>7796</th>\n", " <td>2012-02-27 09:19:10 UTC</td>\n", " <td>-73.987582</td>\n", " <td>40.725468</td>\n", " <td>-74.016628</td>\n", " <td>40.715534</td>\n", " <td>1</td>\n", " <td>2.8</td>\n", " <td>0.0</td>\n", " <td>12.1</td>\n", " <td>15.75</td>\n", " </tr>\n", " <tr>\n", " <th>10537</th>\n", " <td>2012-02-27 09:19:10 UTC</td>\n", " <td>-74.015483</td>\n", " <td>40.715279</td>\n", " <td>-73.998045</td>\n", " <td>40.756273</td>\n", " <td>1</td>\n", " <td>3.3</td>\n", " <td>0.0</td>\n", " <td>10.9</td>\n", " <td>13.40</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " pickup_datetime pickup_longitude pickup_latitude \\\n", "58 2012-02-27 09:19:10 UTC -73.972311 40.753067 \n", "7796 2012-02-27 09:19:10 UTC -73.987582 40.725468 \n", "10537 2012-02-27 09:19:10 UTC -74.015483 40.715279 \n", "\n", " dropoff_longitude dropoff_latitude passenger_count trip_distance \\\n", "58 -73.957389 40.817824 1 5.6 \n", "7796 -74.016628 40.715534 1 2.8 \n", "10537 -73.998045 40.756273 1 3.3 \n", "\n", " tolls_amount fare_amount total_amount \n", "58 0.0 16.9 22.62 \n", "7796 0.0 12.1 15.75 \n", "10537 0.0 10.9 13.40 " ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "notollrides = trips[trips[\"tolls_amount\"] == 0]\n", "notollrides[notollrides[\"pickup_datetime\"] == \"2012-02-27 09:19:10 UTC\"]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Looking at a few samples above, it should be clear that the total amount reflects fare amount, toll and tip somewhat arbitrarily -- this is because when customers pay cash, the tip is not known. So, we'll use the sum of fare_amount + tolls_amount as what needs to be predicted. Tips are discretionary and do not have to be included in our fare estimation tool.\n", "\n", "Let's also look at the distribution of values within the columns." ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pickup_longitude</th>\n", " <th>pickup_latitude</th>\n", " <th>dropoff_longitude</th>\n", " <th>dropoff_latitude</th>\n", " <th>passenger_count</th>\n", " <th>trip_distance</th>\n", " <th>tolls_amount</th>\n", " <th>fare_amount</th>\n", " <th>total_amount</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>10716.000000</td>\n", " <td>10716.000000</td>\n", " <td>10716.000000</td>\n", " <td>10716.000000</td>\n", " <td>10716.000000</td>\n", " <td>10716.000000</td>\n", " <td>10716.000000</td>\n", " <td>10716.000000</td>\n", " <td>10716.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>-72.602192</td>\n", " <td>40.002372</td>\n", " <td>-72.594838</td>\n", " <td>40.002052</td>\n", " <td>1.650056</td>\n", " <td>2.856395</td>\n", " <td>0.226428</td>\n", " <td>11.109446</td>\n", " <td>13.217078</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>9.982373</td>\n", " <td>5.474670</td>\n", " <td>10.004324</td>\n", " <td>5.474648</td>\n", " <td>1.283577</td>\n", " <td>3.322024</td>\n", " <td>1.135934</td>\n", " <td>9.137710</td>\n", " <td>10.953156</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>-74.258183</td>\n", " <td>0.000000</td>\n", " <td>-74.260472</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.010000</td>\n", " <td>0.000000</td>\n", " <td>2.500000</td>\n", " <td>2.500000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>-73.992153</td>\n", " <td>40.735936</td>\n", " <td>-73.991566</td>\n", " <td>40.734310</td>\n", " <td>1.000000</td>\n", " <td>1.040000</td>\n", " <td>0.000000</td>\n", " <td>6.000000</td>\n", " <td>7.300000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>-73.981851</td>\n", " <td>40.753264</td>\n", " <td>-73.980373</td>\n", " <td>40.752956</td>\n", " <td>1.000000</td>\n", " <td>1.770000</td>\n", " <td>0.000000</td>\n", " <td>8.500000</td>\n", " <td>10.000000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>-73.967400</td>\n", " <td>40.767340</td>\n", " <td>-73.964142</td>\n", " <td>40.767510</td>\n", " <td>2.000000</td>\n", " <td>3.160000</td>\n", " <td>0.000000</td>\n", " <td>12.500000</td>\n", " <td>14.600000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>0.000000</td>\n", " <td>41.366138</td>\n", " <td>0.000000</td>\n", " <td>41.366138</td>\n", " <td>6.000000</td>\n", " <td>42.800000</td>\n", " <td>16.000000</td>\n", " <td>179.000000</td>\n", " <td>179.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " pickup_longitude pickup_latitude dropoff_longitude dropoff_latitude \\\n", "count 10716.000000 10716.000000 10716.000000 10716.000000 \n", "mean -72.602192 40.002372 -72.594838 40.002052 \n", "std 9.982373 5.474670 10.004324 5.474648 \n", "min -74.258183 0.000000 -74.260472 0.000000 \n", "25% -73.992153 40.735936 -73.991566 40.734310 \n", "50% -73.981851 40.753264 -73.980373 40.752956 \n", "75% -73.967400 40.767340 -73.964142 40.767510 \n", "max 0.000000 41.366138 0.000000 41.366138 \n", "\n", " passenger_count trip_distance tolls_amount fare_amount \\\n", "count 10716.000000 10716.000000 10716.000000 10716.000000 \n", "mean 1.650056 2.856395 0.226428 11.109446 \n", "std 1.283577 3.322024 1.135934 9.137710 \n", "min 0.000000 0.010000 0.000000 2.500000 \n", "25% 1.000000 1.040000 0.000000 6.000000 \n", "50% 1.000000 1.770000 0.000000 8.500000 \n", "75% 2.000000 3.160000 0.000000 12.500000 \n", "max 6.000000 42.800000 16.000000 179.000000 \n", "\n", " total_amount \n", "count 10716.000000 \n", "mean 13.217078 \n", "std 10.953156 \n", "min 2.500000 \n", "25% 7.300000 \n", "50% 10.000000 \n", "75% 14.600000 \n", "max 179.000000 " ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "trips.describe()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Hmm ... The min, max of longitude look strange.\n", "\n", "Finally, let's actually look at the start and end of a few of the trips." ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [], "source": [ "def showrides(df, numlines):\n", " lats = []\n", " lons = []\n", " for iter, row in df[:numlines].iterrows():\n", " lons.append(row[\"pickup_longitude\"])\n", " lons.append(row[\"dropoff_longitude\"])\n", " lons.append(None)\n", " lats.append(row[\"pickup_latitude\"])\n", " lats.append(row[\"dropoff_latitude\"])\n", " lats.append(None)\n", "\n", " sns.set_style(\"darkgrid\")\n", " plt.figure(figsize=(10, 8))\n", " plt.plot(lons, lats)" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 720x576 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "showrides(notollrides, 10)" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 720x576 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "showrides(tollrides, 10)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "As you'd expect, rides that involve a toll are longer than the typical ride." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<h3> Quality control and other preprocessing </h3>\n", "\n", "We need to do some clean-up of the data:\n", "<ol>\n", "<li>New York city longitudes are around -74 and latitudes are around 41.</li>\n", "<li>We shouldn't have zero passengers.</li>\n", "<li>Clean up the total_amount column to reflect only fare_amount and tolls_amount, and then remove those two columns.</li>\n", "<li>Before the ride starts, we'll know the pickup and dropoff locations, but not the trip distance (that depends on the route taken), so remove it from the ML dataset</li>\n", "<li>Discard the timestamp</li>\n", "</ol>\n", "\n", "We could do preprocessing in BigQuery, similar to how we removed the zero-distance rides, but just to show you another option, let's do this in Python. In production, we'll have to carry out the same preprocessing on the real-time input data. \n", "\n", "This sort of preprocessing of input data is quite common in ML, especially if the quality-control is dynamic." ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pickup_longitude</th>\n", " <th>pickup_latitude</th>\n", " <th>dropoff_longitude</th>\n", " <th>dropoff_latitude</th>\n", " <th>passenger_count</th>\n", " <th>fare_amount</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>10476.000000</td>\n", " <td>10476.000000</td>\n", " <td>10476.000000</td>\n", " <td>10476.000000</td>\n", " <td>10476.000000</td>\n", " <td>10476.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>-73.975206</td>\n", " <td>40.751526</td>\n", " <td>-73.974373</td>\n", " <td>40.751199</td>\n", " <td>1.653303</td>\n", " <td>11.349003</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>0.038547</td>\n", " <td>0.029187</td>\n", " <td>0.039086</td>\n", " <td>0.033147</td>\n", " <td>1.278827</td>\n", " <td>9.878630</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>-74.258183</td>\n", " <td>40.452290</td>\n", " <td>-74.260472</td>\n", " <td>40.417750</td>\n", " <td>1.000000</td>\n", " <td>2.500000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>-73.992336</td>\n", " <td>40.737600</td>\n", " <td>-73.991739</td>\n", " <td>40.735904</td>\n", " <td>1.000000</td>\n", " <td>6.000000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>-73.982090</td>\n", " <td>40.754020</td>\n", " <td>-73.980780</td>\n", " <td>40.753597</td>\n", " <td>1.000000</td>\n", " <td>8.500000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>-73.968517</td>\n", " <td>40.767774</td>\n", " <td>-73.965851</td>\n", " <td>40.767921</td>\n", " <td>2.000000</td>\n", " <td>12.500000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>-73.137393</td>\n", " <td>41.366138</td>\n", " <td>-73.137393</td>\n", " <td>41.366138</td>\n", " <td>6.000000</td>\n", " <td>179.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " pickup_longitude pickup_latitude dropoff_longitude dropoff_latitude \\\n", "count 10476.000000 10476.000000 10476.000000 10476.000000 \n", "mean -73.975206 40.751526 -73.974373 40.751199 \n", "std 0.038547 0.029187 0.039086 0.033147 \n", "min -74.258183 40.452290 -74.260472 40.417750 \n", "25% -73.992336 40.737600 -73.991739 40.735904 \n", "50% -73.982090 40.754020 -73.980780 40.753597 \n", "75% -73.968517 40.767774 -73.965851 40.767921 \n", "max -73.137393 41.366138 -73.137393 41.366138 \n", "\n", " passenger_count fare_amount \n", "count 10476.000000 10476.000000 \n", "mean 1.653303 11.349003 \n", "std 1.278827 9.878630 \n", "min 1.000000 2.500000 \n", "25% 1.000000 6.000000 \n", "50% 1.000000 8.500000 \n", "75% 2.000000 12.500000 \n", "max 6.000000 179.000000 " ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "def preprocess(trips_in):\n", " trips = trips_in.copy(deep=True)\n", " trips.fare_amount = trips.fare_amount + trips.tolls_amount\n", " del trips[\"tolls_amount\"]\n", " del trips[\"total_amount\"]\n", " del trips[\"trip_distance\"] # we won't know this in advance!\n", "\n", " qc = np.all(\n", " [\n", " trips[\"pickup_longitude\"] > -78,\n", " trips[\"pickup_longitude\"] < -70,\n", " trips[\"dropoff_longitude\"] > -78,\n", " trips[\"dropoff_longitude\"] < -70,\n", " trips[\"pickup_latitude\"] > 37,\n", " trips[\"pickup_latitude\"] < 45,\n", " trips[\"dropoff_latitude\"] > 37,\n", " trips[\"dropoff_latitude\"] < 45,\n", " trips[\"passenger_count\"] > 0,\n", " ],\n", " axis=0,\n", " )\n", "\n", " return trips[qc]\n", "\n", "\n", "tripsqc = preprocess(trips)\n", "tripsqc.describe()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The quality control has removed about 300 rows (11400 - 11101) or about 3% of the data. This seems reasonable.\n", "\n", "Let's move on to creating the ML datasets.\n", "\n", "<h3> Create ML datasets </h3>\n", "\n", "Let's split the QCed data randomly into training, validation and test sets.\n", "Note that this is not the entire data. We have 1 billion taxicab rides. This is just splitting the 10,000 rides to show you how it's done on smaller datasets. In reality, we'll have to do it on all 1 billion rides and this won't scale." ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [], "source": [ "shuffled = tripsqc.sample(frac=1)\n", "trainsize = int(len(shuffled[\"fare_amount\"]) * 0.70)\n", "validsize = int(len(shuffled[\"fare_amount\"]) * 0.15)\n", "\n", "df_train = shuffled.iloc[:trainsize, :]\n", "df_valid = shuffled.iloc[trainsize : (trainsize + validsize), :] # noqa: E203\n", "df_test = shuffled.iloc[(trainsize + validsize) :, :] # noqa: E203" ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pickup_datetime</th>\n", " <th>pickup_longitude</th>\n", " <th>pickup_latitude</th>\n", " <th>dropoff_longitude</th>\n", " <th>dropoff_latitude</th>\n", " <th>passenger_count</th>\n", " <th>fare_amount</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>4544</th>\n", " <td>2013-04-18 08:48:00 UTC</td>\n", " <td>-73.989893</td>\n", " <td>40.752172</td>\n", " <td>-73.994455</td>\n", " <td>40.766082</td>\n", " <td>1</td>\n", " <td>9.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " pickup_datetime pickup_longitude pickup_latitude \\\n", "4544 2013-04-18 08:48:00 UTC -73.989893 40.752172 \n", "\n", " dropoff_longitude dropoff_latitude passenger_count fare_amount \n", "4544 -73.994455 40.766082 1 9.0 " ] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df_train.head(n=1)" ] }, { "cell_type": "code", "execution_count": 19, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pickup_longitude</th>\n", " <th>pickup_latitude</th>\n", " <th>dropoff_longitude</th>\n", " <th>dropoff_latitude</th>\n", " <th>passenger_count</th>\n", " <th>fare_amount</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>7333.000000</td>\n", " <td>7333.000000</td>\n", " <td>7333.000000</td>\n", " <td>7333.000000</td>\n", " <td>7333.000000</td>\n", " <td>7333.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>-73.975499</td>\n", " <td>40.751406</td>\n", " <td>-73.974542</td>\n", " <td>40.751193</td>\n", " <td>1.641893</td>\n", " <td>11.330016</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>0.036538</td>\n", " <td>0.027448</td>\n", " <td>0.038408</td>\n", " <td>0.032146</td>\n", " <td>1.268701</td>\n", " <td>9.964875</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>-74.258183</td>\n", " <td>40.626968</td>\n", " <td>-74.260472</td>\n", " <td>40.561076</td>\n", " <td>1.000000</td>\n", " <td>2.500000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>-73.992453</td>\n", " <td>40.737817</td>\n", " <td>-73.991711</td>\n", " <td>40.736365</td>\n", " <td>1.000000</td>\n", " <td>6.000000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>-73.982178</td>\n", " <td>40.753829</td>\n", " <td>-73.980733</td>\n", " <td>40.753520</td>\n", " <td>1.000000</td>\n", " <td>8.500000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>-73.968415</td>\n", " <td>40.767697</td>\n", " <td>-73.965537</td>\n", " <td>40.767740</td>\n", " <td>2.000000</td>\n", " <td>12.500000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>-73.137393</td>\n", " <td>41.366138</td>\n", " <td>-73.137393</td>\n", " <td>41.366138</td>\n", " <td>6.000000</td>\n", " <td>179.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " pickup_longitude pickup_latitude dropoff_longitude dropoff_latitude \\\n", "count 7333.000000 7333.000000 7333.000000 7333.000000 \n", "mean -73.975499 40.751406 -73.974542 40.751193 \n", "std 0.036538 0.027448 0.038408 0.032146 \n", "min -74.258183 40.626968 -74.260472 40.561076 \n", "25% -73.992453 40.737817 -73.991711 40.736365 \n", "50% -73.982178 40.753829 -73.980733 40.753520 \n", "75% -73.968415 40.767697 -73.965537 40.767740 \n", "max -73.137393 41.366138 -73.137393 41.366138 \n", "\n", " passenger_count fare_amount \n", "count 7333.000000 7333.000000 \n", "mean 1.641893 11.330016 \n", "std 1.268701 9.964875 \n", "min 1.000000 2.500000 \n", "25% 1.000000 6.000000 \n", "50% 1.000000 8.500000 \n", "75% 2.000000 12.500000 \n", "max 6.000000 179.000000 " ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df_train.describe()" ] }, { "cell_type": "code", "execution_count": 20, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pickup_longitude</th>\n", " <th>pickup_latitude</th>\n", " <th>dropoff_longitude</th>\n", " <th>dropoff_latitude</th>\n", " <th>passenger_count</th>\n", " <th>fare_amount</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>1571.000000</td>\n", " <td>1571.000000</td>\n", " <td>1571.000000</td>\n", " <td>1571.000000</td>\n", " <td>1571.000000</td>\n", " <td>1571.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>-73.975397</td>\n", " <td>40.751611</td>\n", " <td>-73.973957</td>\n", " <td>40.750983</td>\n", " <td>1.651814</td>\n", " <td>11.498256</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>0.039810</td>\n", " <td>0.030317</td>\n", " <td>0.040677</td>\n", " <td>0.035369</td>\n", " <td>1.256459</td>\n", " <td>10.118346</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>-74.021633</td>\n", " <td>40.608573</td>\n", " <td>-74.177136</td>\n", " <td>40.588230</td>\n", " <td>1.000000</td>\n", " <td>2.500000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>-73.992533</td>\n", " <td>40.737765</td>\n", " <td>-73.991825</td>\n", " <td>40.735869</td>\n", " <td>1.000000</td>\n", " <td>6.000000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>-73.982085</td>\n", " <td>40.754383</td>\n", " <td>-73.980780</td>\n", " <td>40.753667</td>\n", " <td>1.000000</td>\n", " <td>8.500000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>-73.968955</td>\n", " <td>40.766992</td>\n", " <td>-73.966747</td>\n", " <td>40.767623</td>\n", " <td>2.000000</td>\n", " <td>12.900000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>-73.137393</td>\n", " <td>41.366138</td>\n", " <td>-73.137393</td>\n", " <td>41.366138</td>\n", " <td>6.000000</td>\n", " <td>144.800000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " pickup_longitude pickup_latitude dropoff_longitude dropoff_latitude \\\n", "count 1571.000000 1571.000000 1571.000000 1571.000000 \n", "mean -73.975397 40.751611 -73.973957 40.750983 \n", "std 0.039810 0.030317 0.040677 0.035369 \n", "min -74.021633 40.608573 -74.177136 40.588230 \n", "25% -73.992533 40.737765 -73.991825 40.735869 \n", "50% -73.982085 40.754383 -73.980780 40.753667 \n", "75% -73.968955 40.766992 -73.966747 40.767623 \n", "max -73.137393 41.366138 -73.137393 41.366138 \n", "\n", " passenger_count fare_amount \n", "count 1571.000000 1571.000000 \n", "mean 1.651814 11.498256 \n", "std 1.256459 10.118346 \n", "min 1.000000 2.500000 \n", "25% 1.000000 6.000000 \n", "50% 1.000000 8.500000 \n", "75% 2.000000 12.900000 \n", "max 6.000000 144.800000 " ] }, "execution_count": 20, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df_valid.describe()" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pickup_longitude</th>\n", " <th>pickup_latitude</th>\n", " <th>dropoff_longitude</th>\n", " <th>dropoff_latitude</th>\n", " <th>passenger_count</th>\n", " <th>fare_amount</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>1572.000000</td>\n", " <td>1572.000000</td>\n", " <td>1572.000000</td>\n", " <td>1572.000000</td>\n", " <td>1572.000000</td>\n", " <td>1572.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>-73.973652</td>\n", " <td>40.751998</td>\n", " <td>-73.974003</td>\n", " <td>40.751439</td>\n", " <td>1.708015</td>\n", " <td>11.288416</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>0.045718</td>\n", " <td>0.035289</td>\n", " <td>0.040591</td>\n", " <td>0.035399</td>\n", " <td>1.345710</td>\n", " <td>9.214449</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>-74.017128</td>\n", " <td>40.452290</td>\n", " <td>-74.182480</td>\n", " <td>40.417750</td>\n", " <td>1.000000</td>\n", " <td>2.500000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>-73.991780</td>\n", " <td>40.736581</td>\n", " <td>-73.991785</td>\n", " <td>40.734212</td>\n", " <td>1.000000</td>\n", " <td>6.000000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>-73.981705</td>\n", " <td>40.753986</td>\n", " <td>-73.980852</td>\n", " <td>40.753957</td>\n", " <td>1.000000</td>\n", " <td>8.500000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>-73.968586</td>\n", " <td>40.768358</td>\n", " <td>-73.965842</td>\n", " <td>40.769457</td>\n", " <td>2.000000</td>\n", " <td>12.500000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>-73.137393</td>\n", " <td>41.366138</td>\n", " <td>-73.137393</td>\n", " <td>41.366138</td>\n", " <td>6.000000</td>\n", " <td>78.750000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " pickup_longitude pickup_latitude dropoff_longitude dropoff_latitude \\\n", "count 1572.000000 1572.000000 1572.000000 1572.000000 \n", "mean -73.973652 40.751998 -73.974003 40.751439 \n", "std 0.045718 0.035289 0.040591 0.035399 \n", "min -74.017128 40.452290 -74.182480 40.417750 \n", "25% -73.991780 40.736581 -73.991785 40.734212 \n", "50% -73.981705 40.753986 -73.980852 40.753957 \n", "75% -73.968586 40.768358 -73.965842 40.769457 \n", "max -73.137393 41.366138 -73.137393 41.366138 \n", "\n", " passenger_count fare_amount \n", "count 1572.000000 1572.000000 \n", "mean 1.708015 11.288416 \n", "std 1.345710 9.214449 \n", "min 1.000000 2.500000 \n", "25% 1.000000 6.000000 \n", "50% 1.000000 8.500000 \n", "75% 2.000000 12.500000 \n", "max 6.000000 78.750000 " ] }, "execution_count": 21, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df_test.describe()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's write out the three dataframes to appropriately named csv files. We can use these csv files for local training (recall that these files represent only 1/100,000 of the full dataset) just to verify our code works, before we run it on all the data." ] }, { "cell_type": "code", "execution_count": 22, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "['fare_amount', 'pickup_datetime', 'pickup_longitude', 'pickup_latitude', 'dropoff_longitude', 'dropoff_latitude', 'passenger_count', 'key']\n", "['fare_amount', 'pickup_datetime', 'pickup_longitude', 'pickup_latitude', 'dropoff_longitude', 'dropoff_latitude', 'passenger_count', 'key']\n", "['fare_amount', 'pickup_datetime', 'pickup_longitude', 'pickup_latitude', 'dropoff_longitude', 'dropoff_latitude', 'passenger_count', 'key']\n" ] } ], "source": [ "def to_csv(df, filename):\n", " outdf = df.copy(deep=False)\n", " outdf.loc[:, \"key\"] = np.arange(0, len(outdf)) # rownumber as key\n", " # Reorder columns so that target is first column\n", " cols = outdf.columns.tolist()\n", " cols.remove(\"fare_amount\")\n", " cols.insert(0, \"fare_amount\")\n", " print(cols) # new order of columns\n", " outdf = outdf[cols]\n", " outdf.to_csv(filename, header=False, index_label=False, index=False)\n", "\n", "\n", "to_csv(df_train, \"taxi-train.csv\")\n", "to_csv(df_valid, \"taxi-valid.csv\")\n", "to_csv(df_test, \"taxi-test.csv\")" ] }, { "cell_type": "code", "execution_count": 23, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "6.1,2009-11-05 06:47:00 UTC,-73.990238,40.75724,-73.977233,40.755203,1,0\n", "10.9,2011-03-19 03:32:00 UTC,-73.99259,40.742957,-73.989908,40.711053,1,1\n", "8.0,2014-08-28 19:35:11 UTC,-73.977383,40.73643,-73.986933,40.724354,3,2\n", "4.5,2010-03-01 14:42:35 UTC,-73.976545,40.756527,-73.969887,40.752978,1,3\n", "4.9,2011-03-19 03:32:00 UTC,-73.979102,40.740397,-73.974082,40.751517,2,4\n", "6.5,2010-02-25 20:14:00 UTC,-73.974858,40.751708,-73.968745,40.765835,1,5\n", "10.5,2014-05-20 23:09:00 UTC,-74.004512,40.728517,-73.982427,40.758417,1,6\n", "12.1,2012-04-08 03:32:56 UTC,-73.982749,40.768874,-73.995019,40.722754,1,7\n", "20.5,2013-11-26 14:33:11 UTC,-73.98384,40.728959,-73.972363,40.762258,1,8\n", "9.0,2014-02-10 20:38:59 UTC,-73.977346,40.752354,-73.99281,40.733945,3,9\n" ] } ], "source": [ "!head -10 taxi-valid.csv" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<h3> Verify that datasets exist </h3>" ] }, { "cell_type": "code", "execution_count": 24, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "-rw-r--r-- 1 jupyter jupyter 123733 Aug 25 23:14 taxi-test.csv\n", "-rw-r--r-- 1 jupyter jupyter 578824 Aug 25 23:14 taxi-train.csv\n", "-rw-r--r-- 1 jupyter jupyter 123202 Aug 25 23:14 taxi-valid.csv\n" ] } ], "source": [ "!ls -l *.csv" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We have 3 .csv files corresponding to train, valid, test. The ratio of file-sizes correspond to our split of the data." ] }, { "cell_type": "code", "execution_count": 25, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "9.0,2013-04-18 08:48:00 UTC,-73.989893,40.752172,-73.994455,40.766082,1,0\n", "18.0,2012-09-29 10:48:54 UTC,-73.951162,40.743852,-73.995405,40.725296,2,1\n", "2.5,2010-09-04 22:47:07 UTC,-73.98158,40.766128,-73.98166,40.765591,1,2\n", "13.7,2009-05-27 20:37:00 UTC,-73.982238,40.767607,-73.946318,40.82296,1,3\n", "6.5,2015-04-17 10:24:10 UTC,-74.00108337402344,40.73145294189453,-73.99473571777344,40.740318298339844,1,4\n", "19.0,2013-04-21 11:29:33 UTC,-73.975898,40.749751,-74.013784,40.71482,2,5\n", "8.5,2015-04-14 10:30:19 UTC,-73.97860717773438,40.74543380737305,-73.96844482421875,40.758934020996094,1,6\n", "3.7,2012-05-09 06:28:14 UTC,-73.972323,40.763233,-73.976847,40.758322,1,7\n", "8.1,2011-05-27 00:23:57 UTC,-73.99779,40.756561,-74.005295,40.741141,1,8\n", "4.1,2010-05-13 10:44:48 UTC,-73.995222,40.744692,-74.001829,40.740383,1,9\n" ] } ], "source": [ "%%bash\n", "head taxi-train.csv" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Looks good! We now have our ML datasets and are ready to train ML models, validate them and evaluate them." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<h3> Benchmark </h3>\n", "\n", "Before we start building complex ML models, it is a good idea to come up with a very simple model and use that as a benchmark.\n", "\n", "My model is going to be to simply divide the mean fare_amount by the mean trip_distance to come up with a rate and use that to predict. Let's compute the RMSE of such a model." ] }, { "cell_type": "code", "execution_count": 26, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Rate = $2.592860180366175/km\n", "Train RMSE = 7.476515564474699\n", "Valid RMSE = 6.425428220785694\n", "Test RMSE = 9.005719084358004\n" ] } ], "source": [ "def distance_between(lat1, lon1, lat2, lon2):\n", " # Haversine formula to compute distance \"as the crow flies\".\n", " lat1_r = np.radians(lat1)\n", " lat2_r = np.radians(lat2)\n", " lon_diff_r = np.radians(lon2 - lon1)\n", " sin_prod = np.sin(lat1_r) * np.sin(lat2_r)\n", " cos_prod = np.cos(lat1_r) * np.cos(lat2_r) * np.cos(lon_diff_r)\n", " minimum = np.minimum(1, sin_prod + cos_prod)\n", " dist = np.degrees(np.arccos(minimum)) * 60 * 1.515 * 1.609344\n", "\n", " return dist\n", "\n", "\n", "def estimate_distance(df):\n", " return distance_between(\n", " df[\"pickuplat\"], df[\"pickuplon\"], df[\"dropofflat\"], df[\"dropofflon\"]\n", " )\n", "\n", "\n", "def compute_rmse(actual, predicted):\n", " return np.sqrt(np.mean((actual - predicted) ** 2))\n", "\n", "\n", "def print_rmse(df, rate, name):\n", " print(\n", " \"{1} RMSE = {0}\".format(\n", " compute_rmse(df[\"fare_amount\"], rate * estimate_distance(df)), name\n", " )\n", " )\n", "\n", "\n", "FEATURES = [\"pickuplon\", \"pickuplat\", \"dropofflon\", \"dropofflat\", \"passengers\"]\n", "TARGET = \"fare_amount\"\n", "columns = list([TARGET])\n", "columns.append(\"pickup_datetime\")\n", "columns.extend(FEATURES) # in CSV, target is first column, after the features\n", "columns.append(\"key\")\n", "df_train = pd.read_csv(\"taxi-train.csv\", header=None, names=columns)\n", "df_valid = pd.read_csv(\"taxi-valid.csv\", header=None, names=columns)\n", "df_test = pd.read_csv(\"taxi-test.csv\", header=None, names=columns)\n", "rate = df_train[\"fare_amount\"].mean() / estimate_distance(df_train).mean()\n", "print(f\"Rate = ${rate}/km\")\n", "print_rmse(df_train, rate, \"Train\")\n", "print_rmse(df_valid, rate, \"Valid\")\n", "print_rmse(df_test, rate, \"Test\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<h2>Benchmark on same dataset</h2>\n", "\n", "The RMSE depends on the dataset, and for comparison, we have to evaluate on the same dataset each time. We'll use this query in later labs:" ] }, { "cell_type": "code", "execution_count": 27, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Final Validation Set RMSE = 8.135336354025384\n" ] } ], "source": [ "validation_query = \"\"\"\n", "SELECT\n", " (tolls_amount + fare_amount) AS fare_amount,\n", " pickup_datetime,\n", " pickup_longitude AS pickuplon,\n", " pickup_latitude AS pickuplat,\n", " dropoff_longitude AS dropofflon,\n", " dropoff_latitude AS dropofflat,\n", " passenger_count*1.0 AS passengers,\n", " \"unused\" AS key\n", "FROM\n", " `nyc-tlc.yellow.trips`\n", "WHERE\n", " ABS(MOD(FARM_FINGERPRINT(CAST(pickup_datetime AS STRING)), 10000)) = 2\n", " AND trip_distance > 0\n", " AND fare_amount >= 2.5\n", " AND pickup_longitude > -78\n", " AND pickup_longitude < -70\n", " AND dropoff_longitude > -78\n", " AND dropoff_longitude < -70\n", " AND pickup_latitude > 37\n", " AND pickup_latitude < 45\n", " AND dropoff_latitude > 37\n", " AND dropoff_latitude < 45\n", " AND passenger_count > 0\n", "\"\"\"\n", "\n", "client = bigquery.Client()\n", "df_valid = client.query(validation_query).to_dataframe()\n", "print_rmse(df_valid, 2.59988, \"Final Validation Set\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The simple distance-based rule gives us a RMSE of <b>$8.14</b>. We have to beat this, of course, but you will find that simple rules of thumb like this can be surprisingly difficult to beat.\n", "\n", "Let's be ambitious, though, and make our goal to build ML models that have a RMSE of less than $6 on the test set." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Copyright 2020 Google Inc.\n", "Licensed under the Apache License, Version 2.0 (the \"License\"); you may not use this file except in compliance with the License. You may obtain a copy of the License at\n", "http://www.apache.org/licenses/LICENSE-2.0\n", "Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an \"AS IS\" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License." ] } ], "metadata": { "environment": { "name": "tf2-gpu.2-5.m76", "type": "gcloud", "uri": "gcr.io/deeplearning-platform-release/tf2-gpu.2-5:m76" }, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.10" } }, "nbformat": 4, "nbformat_minor": 4 }
apache-2.0
icoxfog417/tensorflow-arithmetic
tensorflow-arithmetic.ipynb
1
3287
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# TensorFlow Arithmetic\n", "\n", "\n", "## calculation" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[7.0]\n", "[10.0, 5.0, 2.0, 1.0, 2.0, 5.0, 10.0]\n" ] } ], "source": [ "import tensorflow as tf\n", "\n", "def x2_plus_b(x, b):\n", " _x = tf.constant(x)\n", " _b = tf.constant(b)\n", " result = tf.square(_x)\n", " result = tf.add(result, _b)\n", " return result\n", "\n", "with tf.Session() as sess:\n", " result = sess.run([x2_plus_b(2., 3.)])\n", " print result\n", "\n", "with tf.Session() as sess:\n", " result = sess.run([x2_plus_b(float(i), 1.) for i in range(-3, 4)]) # may be executed in parallel\n", " print result" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## use placeholder" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[array([ 7.], dtype=float32)]\n" ] } ], "source": [ "import tensorflow as tf\n", "\n", "with tf.name_scope(\"placeholder\") as scope:\n", " p_x = tf.placeholder(tf.types.float32)\n", " p_b = tf.placeholder(tf.types.float32)\n", " p_x2_plus_b = tf.add(tf.square(p_x), p_b)\n", "\n", "with tf.Session() as sess:\n", " result = sess.run([p_x2_plus_b], feed_dict={p_x: [2.], p_b: [3.]})\n", " print result" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## visualize" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import tensorflow as tf\n", "\n", "def monitor_calculation(x, b):\n", " title = \"b = {0}\".format(b)\n", " c = x2_plus_b(float(x), float(b))\n", " s = tf.scalar_summary(title, c)\n", " m = tf.merge_summary([s]) # if you are using some summaries, merge them\n", " return m\n", "\n", "with tf.Session() as sess:\n", " writer = tf.train.SummaryWriter(\"log\", graph_def=sess.graph_def) \n", " xaxis = range(-10, 12)\n", "\n", " for b in range(3):\n", " for x in xaxis:\n", " summary_str = sess.run(monitor_calculation(x, b))\n", " writer.add_summary(summary_str, x)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.10" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
bpgc-cte/python2017
Week 2/Practice Set 1.ipynb
1
4638
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Practice Questions" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\n", " 1. Take two input strings and print out every odd indexed character of first string and then\n", " followed by even indexed characters of the second string.\n", " \n", " Input\n", " -----\n", "\n", " string1 <--- \"abcdefghi\"\n", " string2 <--- \"jklmnopqr\"\n", "\n", " Output\n", " ------\n", "\n", " bdfhjlnpr\n", " \n", " \n", " \n", " \n", " 2. Take two input strings and construct a new string using list comprehension and join operation.\n", " The new string should be constructed such that if character at index `i` is uppercase for string1\n", " then choose it, if not then choose character at index `i` of string2. Whatever character you put in\n", " should be an uppercase character so make sure you coerce it to one.\n", " Assume that both input strings are of equal length.\n", " Use Google to find out what you don't know. Remember we won't be spoonfeeding....and even\n", " if we want to, it's near impossible to do it.\n", " \n", " Input\n", " -----\n", " string1 <--- \"ABCDEF\"\n", " string2 <--- \"jKlmno\"\n", " \n", " string1 <--- \"abcdef\"\n", " string2 <--- \"jklmno\"\n", " \n", " string1 <--- \"AbCDEfghI\"\n", " string2 <--- \"jKlmnoPQR\"\n", " Output\n", " ------\n", " \n", " ABCDEF\n", " JKLMNO\n", " AKCDEOPQI\n", " \n", " \n", " 3. Accept four numbers as input namely -> length, breadth, height of a cube and N. The output should\n", " be a dictionary of vertices whose manhattan distance from (0, 0, 0) is less than N.\n", " \n", " Do this using comprehension/generator expression.\n", " \n", " Example of dict comprehension \n", " -----------------------------\n", " words = [\"one\", \"two\", \"three\"]\n", " \n", " dict = {i + 1 : word for i, word in enumerate(words)}\n", " dict = {(x, y, z) : man...distance for i........... if man....}\n", " Input\n", " -----\n", " Enter length : 5\n", " Enter breadth : 5\n", " Enter height : 5\n", " Enter N : 10\n", " \n", " Enter length : 2\n", " Enter breadth : 5\n", " Enter height : 3\n", " Enter N : 7\n", " \n", " Output\n", " ------\n", " {(0, 0, 5): 5, (0, 0, 0): 0, (5, 0, 0): 5, (0, 5, 0): 5}\n", " {(0, 0, 0): 0, (2, 0, 3): 5, (0, 5, 0): 5, (0, 0, 3): 3, (2, 0, 0): 2}\n", "\n", "\n", "\n", " \n", " 4. Print out the spiral traversal of a given matrix. You don't need to take it as input.\n", " Just set it directly in the code. Assume it's a square matrix with odd number of rows/columns.\n", " \n", " Remember Python doesn't have matrix natively so assume as list of lists for now.\n", " \n", " Note : To get number of rows : len(matrix_name)\n", " To get number of columns : len(matrix_name[0]) \n", " Test cases\n", " ----------\n", " a = [[1]]\n", " b = [[1, 2, 3],\n", " [4, 5, 6],\n", " [7, 8, 9]]\n", " c = [[1, 2, 3, 4, 5],\n", " [6, 7, 8, 9, 10],\n", " [11, 12, 13, 14, 15],\n", " [16, 17, 18, 19, 20],\n", " [21, 22, 23, 24, 25]]\n", " Output\n", " ------\n", " a --> 1,\n", " b --> 1, 2, 3, 6, 9, 8, 7, 4, 5,\n", " c --> 1, 2, 3, 4, 5, 10, 15, 20, 25, 24, 23, 22, 21, 16, 11, 6, 7, 8, 9, 14, 19, 18, 17, 12, 13,\n", " " ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
fangohr/oommf-python
new/notebooks/fmr_standard_problem.ipynb
1
210213
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# FMR standard problem" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Author**: Marijan Beg\n", "\n", "**Date**: 11 May 2016" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Problem specification" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We choose a cuboidal thin film permalloy sample measuring $120 \\times 120 \\times 10 \\,\\text{nm}^{3}$. The choice of a cuboid is important as it ensures that the finite difference method employed by OOMMF does not introduce errors due to irregular boundaries that cannot be discretized well. We choose the thin film geometry to be thin enough so that the variation of magnetization dynamics along the out-of-film direction can be neglected. Material parameters based on permalloy are:\n", "\n", "- exchange energy constant $A = 1.3 \\times 10^{-11} \\,\\text{J/m}$,\n", "- magnetisation saturation $M_\\text{s} = 8 \\times 10^{5} \\,\\text{A/m}$,\n", "- Gilbert damping $\\alpha = 0.008$.\n", "\n", "An external magnetic bias field with magnitude $80 \\,\\text{kA/m}$ is applied along the direction $e = (1, 0.715, 0)$. We choose the external magnetic field direction slightly off the sample diagonal in order to break the system’s symmetry and thus avoid degenerate eigenmodes. First, we initialize the system with a uniform out-of-plane magnetization $m_{0} = (0, 0, 1)$. The system is allowed to relax for $5 \\,\\text{ns}$, which was found to be sufficient time to obtain a well-converged equilibrium magnetization configuration. We refer to this stage of simulation as the relaxation stage, and its final relaxed magnetization configuration is saved to serve as the initial configuration for the next dynamic stage. Because we want to use a well defined method that is supported by all simulation tools, we minimize the system’s energy by integrating the LLG equation with a large, quasistatic Gilbert damping $\\alpha = 1$ for $5 \\,\\text{ns}$. In the next step (dynamic stage), a simulation is started using the equilibrium magnetisation configuration from the relaxation stage as the initial configuration. Now, the direction of an external magnetic field is altered to $e = (1, 0.7, 0)$. This simulation stage runs for $T = 20 \\,\\text{ns}$ while the (average and spatially resolved) magnetization $M(t)$ is recorded every $\\Delta t = 5 \\,\\text{ps}$. The Gilbert damping in this dynamic simulation stage is $\\alpha = 0.008$.\n", "\n", "Details of this standard problem specification can be found in Ref. 1." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "!rm -rf fmr_standard_problem" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np\n", "\n", "L = 120e-9 # x and y dimensions of the sample(m)\n", "thickness = 10e-9 # sample thickness (m)\n", "dx = dy = dz = 5e-9 # discretisation in x, y, and z directions (m)\n", "\n", "# Minimum sample coordinate.\n", "cmin = (0, 0, 0)\n", "# Maximum sample coordinate.\n", "cmax = (L, L, thickness)\n", "# Discretisation.\n", "d = (dx, dy, dz)\n", "\n", "Ms = 8e5 # saturation magnetisation (A/m)\n", "A = 1.3e-11 # exchange energy constant (J/m)\n", "H = 8e4 * np.array([0.81345856316858023, 0.58162287266553481, 0.0])" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "## Relaxation stage" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Firstly, all required modules are imported." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import sys\n", "\n", "sys.path.append('../')\n", "\n", "from sim import Sim\n", "from atlases import BoxAtlas\n", "from meshes import RectangularMesh\n", "from energies.exchange import UniformExchange\n", "from energies.demag import Demag\n", "from energies.zeeman import FixedZeeman" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now, the simulation object can be created and exchange, demagnetisation, and Zeeman energies are added." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Create a BoxAtlas object.\n", "atlas = BoxAtlas(cmin, cmax)\n", "\n", "# Create a mesh object.\n", "mesh = RectangularMesh(atlas, d)\n", "\n", "# Create a simulation object.\n", "sim = Sim(mesh, Ms, name='fmr_standard_problem')\n", "\n", "# Add exchange energy.\n", "sim.add(UniformExchange(A))\n", "\n", "# Add demagnetisation energy.\n", "sim.add(Demag())\n", "\n", "# Add Zeeman energy.\n", "sim.add(FixedZeeman(H))" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "At this point, the system is initialised in the out-of-plane direction. As an example, we use a python function. This initialisation can also be achieved using the tuple or list object." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Python function for initialising the system's magnetisation.\n", "def m_init(pos):\n", " return (0, 0, 1)\n", "\n", "# Initialise the magnetisation.\n", "sim.set_m(m_init)\n", "\n", "# The same initialisation can be achieved using:\n", "# sim.set_m((0, 0, 1))\n", "# sim.set_m([0, 0, 1])\n", "# sim.set_m(np.array([0, 0, 1]))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Finally, the system is relaxed for $5 \\,\\text{ns}$." ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "sim.run_until(5e-9)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can now load the relaxed state to the Field object and plot the $z$ slice of magnetisation." ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAtcAAALYCAYAAAC32N6IAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nWlwrpZRSSillyf8DqvzUtxEuC9cAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f39472b3950>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%matplotlib inline\n", "sim.m.plot_slice('z', 5e-9)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Dynamic stage" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In the dynamic stage, we use the relaxed state from the relaxation stage." ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Change external magnetic field.\n", "H = 8e4 * np.array([0.81923192051904048, 0.57346234436332832, 0.0])\n", "sim.set_H(H)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In this stage, the Gilbert damping is reduced." ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "sim.alpha = 0.008" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Finally, we run the multiple stage simulation." ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [], "source": [ "total_time = 20e-9\n", "stages = 4000\n", "\n", "sim.run_until(total_time, stages)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Postprocessing" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "From the obtained vector field samples, we can compute the average of magnetisation $y$ component and plot its time evolution. " ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAZMAAAEPCAYAAACHuClZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJztnXu8XdO597+/nXsickGCkEQQRC9BBb1IFG14D6qo0KPN\nuaAXl16Ogx5966B9KXqc06YtPSqqSFtafOpIXWrT9hSR2i6RCG1cEpcikohEbvt5/xhzdM01s+be\na+89V9beez3fz2d9xhxjjjnXWM9ee/7W8zxjjikzw3Ecx3G6QlO9B+A4juP0fFxMHMdxnC7jYuI4\njuN0GRcTx3Ecp8u4mDiO4zhdxsXEcRzH6TI1FxNJ0yUtkrRY0rkV9k+VtELSn5LXBal9Z0t6Mnmd\nVeHYr0pqlTSy1p/DcRzHyadvLU8uqQn4HnAo8DIwT9LtZrYo0/VBMzs6c+zewD8BHwA2AndJ+rWZ\n/SXZvxNwOPBCLT+D4ziO0z619kymAM+a2QtmtgGYAxxToZ8qtO0FPGxm68xsE/Ag8MnU/v8Azil6\nwI7jOE7HqbWYjAFeStWXJm1ZDpLUIulOSZOStqeAj0gaIWkwcCSwM4Cko4GXzOzJGo7dcRzHqZKa\nhrmqZD4w1szWSDoCuA2YaGaLJF0G3AOsBh4DNkkaBHyNEOKKVPJsHMdxnC1ErcVkGTA2Vd8pafsb\nZrY6tX2XpO9LGmlmy83sOuA6AEnfJHg5uwLjgcclKTnnfElTzOyv6XNL8oXHHMdxOoGZdehHeq3D\nXPOA3SSNk9QfmAHcke4gaXRqewogM1ue1LdLyrHAscBNZvaUmW1vZhPMbBdC6GyfrJBEzMxfBb2+\n8Y1v1H0MveXltnR7dudXZ6ipZ2JmmySdAdxNEK5rzWyhpNPDbrsGOF7S54ENwFrgxNQpbk2m/W4A\nvmBmqyq9DR7m2iI8//zz9R5Cr8FtWSxuz/pT85yJmc0F9si0XZ3angXMyjn24CrOP6GrY3Qcx3G6\nht8B71TNzJkz6z2EXoPbsljcnvVHnY2P9QQkWW/+fI7jOLVAEtbNEvBOL6K5ubneQ+g1uC2Lxe1Z\nf1xMHMdxnC7jYS7HcRynDA9zOY7jOHXBxcSpGo9LF4fbsljcnvXHxcRxHMfpMp4zcRzHccrwnInj\nOI5TF1xMnKrxuHRxuC2Lxe1Zf1xMHMdxnC7jORPHcRynDM+ZOI7jOHXBxcSpGo9LF4fbsljcnvXH\nxcRxHMfpMp4zcRzHccrwnInjOI5TF1xMnKrxuHRxuC2Lxe1Zf2ouJpKmS1okabGkcyvsnypphaQ/\nJa8LUvvOlvRk8jo71f5tSQsltUi6VdLWtf4cjuM4Tj41zZlIagIWA4cCLwPzgBlmtijVZyrwVTM7\nOnPs3sDNwP7ARmAucLqZ/UXSYcBvzaxV0qWAmdn5Fd7fcyaO4zgdpDvmTKYAz5rZC2a2AZgDHFOh\nX6VB7wU8bGbrzGwT8ADwSQAzu9fMWpN+DwE7tTeQz38epk/vzEdwHMdx2qPWYjIGeClVX5q0ZTko\nCVndKWlS0vYU8BFJIyQNBo4Edq5w7D8Cd7U3kJtvht/8Blpa4OWXO/YhnIDHpYvDbVksbs/607fe\nAwDmA2PNbI2kI4DbgIlmtkjSZcA9wGrgMWBT+kBJ/wZsMLOb8k4+c+ZMxo8fz+rVAMPZZ5/JfPjD\n0/jd70pfwGnTpgFeb6/e0tLSrcbjda97vZh6c3Mzs2fPBmD8+PF0hlrnTA4ELjSz6Un9PEJ+47I2\njlkC7GdmyzPt3wReMrMfJvWZwKnAR81sXc65/pYzGTQI3n03tB9wADz0UNc+m+M4Tm+lO+ZM5gG7\nSRonqT8wA7gj3UHS6NT2FILALU/q2yXlWOBY4KakPh04Bzg6T0iyqENmcRzHcTpCTcUkSZyfAdwN\nLADmmNlCSadLOi3pdrykpyQ9BlwFnJg6xa2SngJuB75gZquS9u8CWwH3JNOJv9/eWNJi4hO8Okd0\ni52u47YsFrdn/al5zsTM5gJ7ZNquTm3PAmblHHtwTvvuHR2HeyaO4zi1o9evzbV2rTFgAGy9NUkS\nHvbfHx55pL5jcxzH6a50x5xJ3Rk0CG64od6jcBzH6d30ejEBeO45z5kUgceli8NtWSxuz/rTEGLS\n2upi4jiOU0saQkw2bcoXk698BQ46aMuPqScSb3Zyuo7bsljcnvWnO9wBX3OyYpLmzjth8eItOx7H\ncZzeRkN4Jh7mKgaPSxeH27JY3J71pyHEZNOm8rqLieM4TrE0jJj4TYtdx+PSxeG2LBa3Z/1pSDFx\nz8RxHKdYGkJMsjkTp3N4XLo43JbF4vasPw0jJmncM3EcxymWhhCTthLw7rFUj8eli8NtWSxuz/rT\nEGJiVi4g7pk4juMUS8OISVt1gOOPh4sv3jLj6al4XLo43JbF4vasPw0jJu15I7feCj/4Qane2gob\nN9Z2XI7jOL2FhhGTPNI5kw0bSttf+hJsv33txtQT8bh0cbgti8XtWX8aYm2uLGbw17/C4MHl7elZ\nXwsWwJtvbtlxOY7j9FQaxjPJJuBHj4bPfra8X1pM+jakzLaNx6WLw21ZLG7P+lNzMZE0XdIiSYsl\nnVth/1RJKyT9KXldkNp3tqQnk9dZqfYRku6W9Iyk30ga1tYY8hLwr7xSHuZKTyH2KcOO4zjVU1Mx\nkdQEfA/4OLA3cJKkPSt0fdDM9k1elyTH7g38E/ABYDJwlKQJSf/zgHvNbA/gt8D5bY0jT0yyCfa0\nZ+Jisjkely4Ot2WxuD3rT609kynAs2b2gpltAOYAx1ToV+nSvRfwsJmtM7NNwAPAJ5N9xwDXJ9vX\nA59oaxB5s7naujPexcRxHKd6ai0mY4CXUvWlSVuWgyS1SLpT0qSk7SngI0lIazBwJLBzsm+0mb0G\nYGavAqPaGkTebK5su4e52sbj0sXhtiwWt2f96Q5p5vnAWDNbI+kI4DZgopktknQZcA+wGngM2JRz\njjYm/87kiSfGs3YtwHBgMmbTAFi1qjmZDhzqGzc209wcXOYgJqU6lL6wjVpvaWnpVuPxute9Xky9\nubmZ2bNnAzB+/Hg6g6yGa4tIOhC40MymJ/XzADOzy9o4Zgmwn5ktz7R/E3jJzH4oaSEwzcxek7Q9\ncL+Z7VXhXAbGSSfB//wPrFwZ2nffHZ59FiZPhvXr4emnQ3vfvqV7Tf7u78IjfX3pFcdxGg1JmFmH\n4jO1DnPNA3aTNE5Sf2AGcEe6g6TRqe0pBIFbntS3S8qxwLHATUnXO4CZyfZngdvbGkTe2lydCXOt\nXw/PP9/WuzmO4zQeNRWTJHF+BnA3sACYY2YLJZ0u6bSk2/GSnpL0GHAVcGLqFLdKeoogFl8ws1VJ\n+2XA4ZKeAQ4FLm17HPnteQ/NyhOTf/1X2GWXtt6t9xLdYqfruC2Lxe1Zf2qeMzGzucAembarU9uz\ngFk5xx6c074cOKz6MVSuV7vMSprXXqv2XR3HcRqHhr0DPl1WIk9MGnmWV0zcOV3HbVksbs/60zBi\nUonsfSZpomgsXVqeI2lkMXEcx8mjIcWkmhlaUTT22Se8HI9LF4nbsljcnvWnO9xnskWoJCCV2pYu\nLZ/V9cYb5fvdM3Ecx9mchvZMKonJ/vvDnnuWRKNfv/L9jSwmHpcuDrdlsbg9609DiElebqRS+6pV\n8O67LiaO4zgdoSHEJG82VyWyKwlnxaSpISxWGY9LF4fbsljcnvWnIS6NeasGV7pJMS6nEvdlH5KV\n55m0tm6eX3Ecx2kUGkZMKtXTYa68PEqfPuX1PDG54grYbrvOj7En4HHp4nBbFovbs/40hJjkUclb\nyYaxsuKRFyL7y1+KGZPjOE5PpCHEJBvmigKRbo9teZ7I7bfD5z+f/x7Z43ojHpcuDrdlsbg960/D\niEmleiUvI08Urr4afvjDfM+kEcTEcRwnj4YUk7baY8I967HEGxkbWUw8Ll0cbsticXvWn4YRk2oX\nesyb+hvbY9L+4ovhsMM23+84jtOINMQlsC3PpL11uvJyKTffDPfdF3Ipffo0hmficenicFsWi9uz\n/jS8mGTJm/obxSI7rXj+/LCd55mYwfLllfc5juP0FhpCTKD6hR7zyIpJvDO+rWXsAW68EbbZpvr3\n6c54XLo43JbF4vasPw0hJp3xTLIJ+Oh5ZMWkvfDWyy9XP07HcZyeSkOKSbXrdFU6JrvMShSTbHhs\n7Vr4/e87Ns7ujseli8NtWSxuz/pTczGRNF3SIkmLJZ1bYf9USSsk/Sl5XZDad76kBZKekHSjpP5J\n+/6SHpH0WFJ+oK0xVOOZRDHIikJeDiWKSdZjifz85/CRj/gsL8dxGoOaXuokNQHfAz4O7A2cJGnP\nCl0fNLN9k9clybHjgFOBfczsfYQHec1I+n8buMDM9gG+AVze1jhaW9vPmeQJTl7YK2+WV5beJCYe\nly4Ot2WxuD3rT60vdVOAZ83sBTPbAMwBjqnQr9Lv/1XAemCIpL7AYCBmIF4BhiXbw4FlbQ2ivSR5\n2UByPJGs2GRzKZG77oITTyzlVHqTmDiO4+RR60vdGOClVH1p0pblIEktku6UNAnAzN4CrgReJIjF\nCjO7N+l/HvAdSS8SvJTz2xpEa2tlkai0Nld6X6V6nocS+dWvQogrhsGy+994IzzN8e234ZZb2hp1\n98Pj0sXhtiwWt2f96Q7PgJ8PjDWzNZKOAG4DJkraFfgyMA5YCdwi6WQzuwm4FjjTzG6TdDzwY+Dw\nyqefyZIl45PnlAwHJmM2DYB165pZswZgWtK3OelXqr/7bnn9tddCPXg7zTz3XKiH5Vaaef31UA9i\n0syzz5aOb25uZsECePTRadx9N5xwQjP3319y0eM/RHett7S0dKvxeN3rXi+m3tzczOzZswEYP348\nncLM2nwBE4H7gKeS+vsI+Ypqjj0QmJuqnwec284xS4CRwKeAH6XaTwG+l2yvyhyzMudcBmYf/KBZ\n//7RDzEbMyaUo0aZTZwYtpuaQrnNNqE85phQjh0byqOPDuUJJ4TywANDeckloTz77FCeeWYof/7z\nUF5xRSg3bjQzM/vd70L9F78IpeM4TncjSEP71/j0q5ow148IYaQNifg8QSkR3h7zgN0kjUtmYs0A\n7kh3kDQ6tT0FkJktB54BDpQ0UJKAQ4Gnk67PSpqaHHMosLitQeTlTKq5zyTbN54r1uMCkOvWhbIp\nsWh8YmNsj48Dju3ZxwO3tsI//3P+Z3Acx+nOVCMmg83skUzbxoo9M5jZJuAM4G5gATDHzBZKOl3S\naUm34yU9Jekx4CrgxOTYx4GfEMJgjxOS9D9Kjjkd+HZyzCVAPFdFOiIm2X3ZPvFcsYyisH59KKOY\nxPYQJguiY1bqF8t4/rVr4dprS+LUHYlusdN13JbF4vasP9XkTN5I8hcGkOQoXqn2DcxsLrBHpu3q\n1PYsYFbOsZdTYdqvmT0KHFDtGLJi0taqwdljsn2z7VkxyYpMFJO774Zjj4U7Er/snXdK/fr1Kx2/\nZg28+SZ0NmzpOI5TD6rxTL4IXA3sKWkZ8CWgjWcOdj86E+bKE5PoOeR5JnF/VkwWLCjvFxL/pf2x\n/bHHYJddysf0SNYvrBMxced0Hbdlsbg960+7nomZ/QU4TNIQoMnM3q79sIqlI/eZZI/JikgUibg/\nmzOJ7TE3EsViwIDyfmvXlveL7StXlt5XCisOH3BA6D9wYMc/h+M4zpagXc9E0lckfYWQpzg1qf+T\npMm1H14xtOWZ5IW68nIj8eKfF+aK4pIVk9gvikVsX7ECdtutVI+iEutvv11+XD3xuHRxuC2Lxe1Z\nf6oJc30A+BzhZsMxBFGZDvxI0r/WcGyF0ZZnkhWNbJgr64G0JyZZMYhlDGtFcYieyYsvwp//DK++\nWr7/7bdhyZJSv7fe2nzsf/1r/udyHMfZklQjJjsB+5rZV83sq8B+wCjgYGBmDcdWGG0l4LP78nIm\nWc8k67FkRSSKQHtismpVKN94o7y+Zg1MmABPPhnqr74axhbfd906GD06JOu3FB6XLg63ZbG4PetP\nNWIyCliXqm8ARpvZ2kx7t6UrYa48McnmUKJnkicmsd6emMT9L74YymXJqmPhTvrQ/9JL4fnnQz3c\nce84jlNfqhGTG4GHJX1D0jeAPwA3JQn5p9s+tHuQXTU4vZ0nNO2JSTZxng1zZWdrxXoUjygmMRcS\nH+0bxSR6HDGUFevLl8P554fnz8fzxTE+9FB4/3/8x5CLKRqPSxeH27JY3J71p10xMbOLCXmSFcnr\nc2Z2kZm9Y2afrvUAi6AjnkkMc0XPIzubqz0xaS/MFe8vyXom6VwJlMQlrAVWqkdRiSK0cmW4UfK1\n1+Cgg+CGG+C662DRotJniu/lOI5TK6pa6NHM5kl6ARgIIGmsmb1Y05EVSFurBuflU/KmAFcrJlnP\nJF7QV68ur0dRiCISxSWKRrZcurS8Ho+P4bAoOvE8AIMHwzXXwA47wMc+Bv370yk8Ll0cbsticXvW\nn2qmBh8t6VnCAowPJOVdtR5YkXQkzJXNhRTlmWTFJLbneSZZsYj1l5IF/WMYK3ousYzne+stGDoU\n/vjHUH/uOTjqKLj//tJn/cpX4He/w3Ecp8tUkzO5mLD672Iz2wU4DHiopqMqmKxgpPMheWtv5c3a\niu1Z8cjeV5KXM8l6Ju2JSdZTiWISpwpHEYnt6Vlhq1fDo4+Wj/f11+Ezn4HmZviP/4D//m845xxY\nuDB8BjO4+ebScWk8Ll0cbsticXvWn2rCXBvM7E1JTZKazOx+SVfVfGQFkvVM0veQ5AlNdnXfrEeS\nXS4lzzPJJuSzOZNsmCvPM4ni8fLL5fUoJi+8UF6P+7NTkpcvD3mVrbcO9U2b4IorYNAg+MUv4IQT\n4OKLYe+94Qc/gEmTggANGBAS/AMGhNyM4zhOmmrEZIWkrYAHgRsl/RV4p7bDKpasmKST63meSRSL\n7NTfvDBXtp4nJrHM80xiPeY+opjEsFa8uTEb5opiEmd/RU8l5lhiTiX2zy5c+c47IWk/dy5/4+CD\n4Utfgp/8JNzz8uij09h2W7j1Vhg3Dm68MYjW5MkhpHb00aUxvvpqaI/LwjjleIy/WNye9acaMTkG\nWEt46uGnCc9ev6iWgyqarAeSTqpXypk0NW3ugaxfHx7F29UEfGc9k1hGMWhPTJYsKW+PYhIXnIz1\n7P0t8cIfn2G/bFkQtnTOaOrUsF7YokWlcY0cGaYsAzz8cHgk8cyZIdR28cXhPFGsrrwyjPuAA8J4\nN24Mx3zqU+F9+vYt/R1WroThw3Ecp5vTZs5EUh/g12bWamYbzex6M/svM9uC9113jaamfM8k2x7b\n+vXbfNmUDRvCLKhse9YzaSvMJXVsanB6HKtXh4Ueo2eSF+bKikm8uTGGx+Id9fEmyMXJY8WeeaZ8\n/NFGcTyDBgE0E1m2rPTsFgif69xzQ/4ljm3OnCAqZ50Fhx0Wtn/969Dn4IPhW9+CnXeGWbNgxgy4\n6KLg8fzqV0FQfvpTGDECfvvbkOd57DH48IfDcv4HHxw+00UXhc/4uc8Fsfra18JYrr8+jOPb3w7C\nPHt2EPy5c8NnuuWW8FkffjiI2bx54bhHHw1/s6VLQ/vzz4e/XayvWBHK114Lf8PXXw/11auDzVau\nDO+zZk34Lq1fH/avWxfKjRvDd+e++5rZtCkcE3NVaW+xrZmGzuZ4zqT+yNr5hkq6D/ikmXWDpQY7\nhiTr398YOrR82ZEBA8I/dWsrDBsWLujxH3fAgHARHzSo/O7yrbYKF7h0X4AddyxdqPv3L5139OjS\nhR7C+fr1K4nHgAHhAjNyZLhADR9eCm3Fc40cGcSjf/9wURozJlzEBw4sXfQHDw7v9+674T2iSMXt\nYcPCxbO1Ffr0CRevgQNL4ad4noEDw/jWrAl94vHbbx/GMGQIvPNOM/F59oMHh88aPbLIwIHh2PRa\nYoMGhQtm9PK23jrYIdogjiv991m3Lr5n/t832iXL8OHBpvEzxPPH9sjYsWGlgbFjw2fs0yf032GH\nUN955yAiI0aE78/OO4e/6Xbbhb9VfP+ttgrjHDw4HN+/fxj/4MHBvvFHSGwPz69ppl+/abS2lo7p\n1y+Uy5eHc65eHcKHaVsNHRr+RlttFcqBA0M5ZEhpZen032/QoJKNW1tL3nWfPuUCFn90xe24Ly7h\nk55OXnoAduV6W0TPN++Jpp1l48Zgz2re22mf5cuFmXXIYtWEuVYDT0q6h1SuxMzO6uD46kL2QgWh\nHtvjRTadlE97BJH168M/Zmtr6R+vT5/wj9q3b/lDrvr0KYW5IOxfuzZclFatCvXosaxaVbrgR6JY\nbLttuKhtvXUIR227bRCTbbYJZbzg7L578DR22AH+8pfQ7403SkIQL5q77ho8kT32gMcfD8n1p5+G\nvfYKs7n23z9MFR48OIz/ve8Nnkzcv8020/52UY05mTiGyOTJIVE/fnz4VR/3n3wy3HRT6ZzHHhs8\nkH/5lzAB4IQTwgSAyy8Pnsull8J555Xqc+fCmWfCZZfBJz8Zjr3++tDvrLPg618P/WfNCh7RF78Y\nwmtf/GKYSHDRRXDbbXDccfCjH8Hxx4cHlX360/DAA3DooaH+8Y8Hb+UjHwnjnDQpeETvf3+w8aRJ\nwQvccUd45ZVgizffDALz5pvhYr9yZfgbvPNOuMivXh3EYO3asH/jRmhqmsbGjeG7ss02oc+6deE7\nue224Rzxh86wYeH7MGBA+J7Ec0bBiKKbFo4oLPG4GDaM39ONG8PFtakplHF//B9IX+ybmsL3WioX\ng7bqlch7KF1XL/LhfNOq6ONUy7bbduKg9h4SD3y20qujD5uvxwuwrbYyGzrUTCr9fpLMBg0K5ZAh\nYTvua2oyGzXKbKut0r+3wmvMmFD27x/KQYPC8UOGhPqIEaEcOtSsTx+zfv1CPZ5r4sTSfjAbPDiU\nu+xS3m/06FBOnRrKCRNCeeihoXz/+0M5dmx5+4c+FMr3vjeUH/xgeXnEEaE84YRQHnVUKD/5yVAe\nd1wox40L5THHhHLSpFCOGlX6HDvuGOy3zTahrW/f8HlOO81s5Eiz/fcP7VdcYfbv/252551mM2aY\nffe7Zl/8otmLL5r98Y9mzz1nds01Zn/+s9l995m1tpqtXGn27rtmDz0U6mvXWhnvvmuO49SQIA0d\nu95W83Cs6yUNAsaa2TOd0Ku60qdP8DKamspncTU1lf9Si1TyTKRwTHT1+/YNv9QGDAi/ELfeOvwy\njPsHDy79gtywodRvq63C/oEDw/7oAQwbFtrjr/hhw0I4Jf46GDo0lNtsE8rYPnJk8Dh23jnUt98+\nlDvuGH5V77EH/O//wk47hfb4KODddw9lTGzHh2716RPK+Au1Xz84/PDwq/zpp2HDhmZgGhMmhF/6\nq1eHe1WWLQt32A8dGsbyzW+GX9Rr1sB73lOy45FHlv9t4rh33TWUEyaEMk5bPuCA8vFF4oPGejLN\nzc0+A6lA3J71p10xkXQUcAXQH9gleSjWRWZ2dDVvIGk6cBUh2X+tmV2W2T8VuB34S9L0SzO7JNl3\nPvD3wCbgSeAfzGx9su9M4AvARuBOMzuv0vtHlz/rSkth38aNm1+s0nHlTZtK4at4EYviE3MksT2K\nSUhWh/PGeDeURCHuHzIkhKPiRX3o0BCWiuISxSNeXKOIbLddKEeODGW8KO+wQ3m5116h3HHH8v5x\nnDG/IsHnPx9CPz//eUn0hg6Fn/0s7B81KojH//k/QZRGjQp9PvzhcqGNn69TbrLjOD2WanImFwJT\nSKbymFmLpAnVnFxSE/A94FDgZWCepNvNbFGm64NZcZI0DjgV2NPM1kv6GTAD+ImkQ4CjgPea2UZJ\nuZeuKAhNmXlr0TOJOY7svtbWUqw5iknaM4FSPZZRZAYPDmUUjbg/7Zmk+6XFJF2PF+SsmKQ9E9jc\nM4liMmZM+fFR1PbdN5TjxpXG/f3vh+3f/z4c/8gjMGVKyW7nnAOV4tJpr86pHv8VXSxuz/pT7R3w\nK1X+077ap6pPAZ41sxcAJM0h3LeSFZNKKbhVwHpgiKRWYDBBkCA8+fFSM9sIYGZv5A0giknfzCeN\nYhL7/G0gKtVjmfZEYHMxyXomWTGJ4hHFJO2ZwOZiEj2TbJgr1qNXEMUkltGTGT06lNGDiR5K9MT6\n9AkJ+J13DlNmjzii9Pk/9KFQTqjq54LjOE6gmrW5Fkg6GegjaXdJ3wX+t8rzjwFeStWXJm1ZDpLU\nIulOSZMAzOwt4ErgRWAZsMLM7k36TwQOlvSQpPslfSBvAPHCnxfmgnwxicdGMYllbI8ikicmUUTi\n/qxnEkUlikncnxWT6FlkxSKKSNy/yy7l59111xCamjGjNK742d73vjC77I03ws2C1eBz+YvDbVks\nbs/6U41ncibwb4SnKt4E/Aa4pMAxzCck99dIOgK4DZgoaVfCXffjgJXALZJONrObknGPMLMDJe0P\n/Byo+Fv6rbdmAuOTexyGA5OBaUkoqxkI0zQDzZhBnz6hbhb2x/nra9aU10NCGgYMCPV33w31wYND\nff36UO/fP9RXrAj1QYPK+w8fXn7+YcNC/eWXQ33rrUN92bJQHzeu/HwjRkxjyRJYsqQ0vtZWeOCB\nZl58MYQAzjwTttuumebmUkgg/gNWW29paelQf6973es9o97c3Mzs2bMBGB9n6nSU9qZ7EZ7/3tmp\nuQcCc1P184Bz2zlmCTAS+BTwo1T7KcD3ku27gKmpfc8B21Q419+m1cbpu/G13Xalqbxxym+cGvye\n95RPhY1TZQ8/PJS77RbKAw8sn5J70EGhPPbYUB5ySCg//OFQXnBBKKdPL5+Se9VVoTz11FD++7+H\n8uGHy+uPPBLK554L5ezZpXppSp/ZT3/asWmAjuM4aejE1OBqwlxXSloo6WJJ72m/exnzgN0kjZPU\nn5BAvyPdQdLo1PYUwl35y4FngAMlDVRI2BwKLEy63gZ8NDlmItDPcpZ4iWGdtsJcTU2V22M4K6/M\nhrH6dzBnkpeAj2GumBuJYax4E1qcnbXTTvDlL5cS6RDWw/JcpOM4W5p2xcTMDgEOAV4Hrpb0pKQL\nqjm5mW1Q0BjKAAAWP0lEQVQCzgDuBhYAc8xsoaTTJZ2WdDte0lOSHiNMIT4xOfZx4CeEMNjjhCT9\nNckxPwYmSHqSEHr7TO4HTD5hJTGptK9SLiX2y4pJXs4kikUs83Im2QR83B/7x9lZUUyGDQv70rPB\nvvOd8skF111XmsVVNNEtdrqO27JY3J71p9rH9r4K/Jek+4F/Bf4vVeZNzGwusEem7erU9ixgVs6x\nlwOXV2jfQAh7tUveGkBtLeGQ9UyimGRnd2XFJDs1OF70o8hEzyOKSJ5n8pGPwG9+UzpvTLSPGFE+\n9ngzouM4Tr2p5qbFvQjewnHAm8DPgK/WeFyF0ZkF5bKeSFZMYtnZ2Vxxf7Y9LSof+1hpPDvuGO7z\niP06+nmKYprHzwrDbVksbs/6U41n8mNgDvBxM3u5vc7djaY2AnmVvJNKuZR44c62R/HIeiB5Ny1G\njySW6ZWKoSQm8fjIiBFhKXXHcZzuSjU5k4PM7D97opBA22GuSvvyEvOQn0PJikk2ZxL7R9GIYhHX\n/2pLTJ57rrSWVr3xuHRxuC2Lxe1Zf6oJc+0O/D9gEvC3VazMrEfcI91WmCsvb5I3AyxPTKIYxP1R\nXGIZzxP7xdla8TxRdNKztiJxEUTHcZzuTDVTg68DfkBYUPEQwgyrn9ZyUEXSVqI9b1+8yOd5JtkH\n/ESPJPaPifiY48j2i4n0s86Ce+8tTQGOa2y1FZqrJx6XLg63ZbG4PetPNTmTQWZ2nyRZWGPrQknz\nCTO6uj3VhLmytBfmyopJFI9sPeZG4nvH9vRyKWPHlvepR2LdcRynq1TzG3hdsvrvs5LOkHQssFV7\nB3UXOjObK09M8tb5yrZnpwhnhSI7C6yn4HHp4nBbFovbs/5UIyZnE1bsPQvYj/B8kc/WclBF0pkw\nV7U5k7g/KybZsFZk0iS48cbKqxU7juP0ZKp50uK8ZHM18A+1HU7xZKf3pqkkJvEpjJX2txfmyru5\nMR3mOvlkuPvujn2G7oLHpYvDbVksbs/6001TvcXRmZxJtWISyXomcXZW9qbHSFwq3nEcp7fQMGLS\nkX0dDX9lE/BxVlbklFPg7/++VN99956ZaPe4dHG4LYvF7Vl/2hUTSdtsiYHUis4k4KsVk/XrQ5n1\nTPbbL9zdvmlTqB9yCNxwQ/Xv7ziO09OoxjN5SNIvJB0ptfU7v3vSmTBXe+fKikklj0Uq3eHeW/C4\ndHG4LYvF7Vl/qhGTiYSl308hTA/+VvIMkR5BNWGutNCYtZ9Lif2zNyuGpzmWyNYdx3F6K9WszWVm\ndo+ZnQScSpgW/IikByQdVPMRdpGuhLnylq2P7fvvX17PeiLb9OgA4eZ4XLo43JbF4vasP1XlTCSd\nLelR4F8Iz4TflrAM/U01Hl+X6ejU4HR7e2Lyuc/B2rWl1X+znsiRR8KLL3Z8zI7jOD2NapZT+SNw\nA/AJM1uaan9U0g9rM6ziyOYxohB0JGcSE+mVntY4cGC+ZyJtPrOrJ+Nx6eJwWxaL27P+VCMmeyQP\nmN8MM7us4PEUTmdWDc62RzGJHkj2XHF/b0u4O47jVEs1Cfj9JP1K0p8kPZE8A/6Jmo+sIIq4zyQr\nIlkxifuPOw4OO6zjY+wpeFy6ONyWxeL2rD/ViMmNhGXojwOOAv4uKatC0nRJiyQtlnRuhf1TJa1I\nxOpPki5I7Ttf0oJExG6U1D9z7FcltUoaWe14KpGdzZUVjfY8k1g/6SS4556ujMRxHKdnUk2Y6w0z\nu6MzJ09WG/4ecCjwMjBP0u1mtijT9UEzOzpz7DjC7LE9zWy9pJ8BMwjPU0HSTsDhwAvVj2fzpypW\nIi+MlRWXyB57VDuCno3HpYvDbVksbs/6U42YXCjpWuBeYF1sNLNfVnHsFODZ5DkoSJoDHANkxaTS\nZX0VsB4YIqmVsHJx+tHB/wGcA3RS6DqegI9iEj2UyJFH+j0ljuM0NtWEuWYC7wemE8JbMdRVDWOA\nl1L1pUlbloMktUi6U9IkADN7C7gSeBFYBqwws3sBJB0NvGRmT1YziI6uwdXelOBKyfxGWE7e49LF\n4bYsFrdn/anGM/mAmdUykDMfGGtmayQdAdwGTJS0K/BlYBywErhF0snAr4CvEUJckVwfY9GimZiN\nB8BsODAZmAbAmjXNSa9pSdmc5ExC/e23w/5x46bx9tvwwguh3toa9scvcHSxe3u9paWlW43H6173\nejH15uZmZs+eDcD48ePpDMqZ9VvqIF0HXG5mT3f45NKBwIVmNj2pn0e4qT53SrGkJYSHcB0GHG5m\npybtpwAHAD8khNzWEERkJ4LnMsXM/po5lx18sPGHP4RQVTpnMm5ceEb7ggUwZgwsWxbam5rgE5+A\nX/4S3v9+ePxxWL48hLG+9S246ir4wAfg0Ud75sq/juM47SEJM+vQ6oXVeCYHAi3JRX4d4QJuZva+\nKo6dB+yWJNNfISTQT8oMerSZvZZsTyEI3HJJzwBflzQwed9DgXlm9hSwfer4JcC+SVisTfIu/nmz\ns2I5YkQo41Lz2ZyJ4zhOo1NNzmQ6sDvwMTo4NdjMNgFnAHcDC4A5ZrZQ0umSTku6HS/pKUmPAVcB\nJybHPk6YuTUfeJwgYtdUehvaCHNBx3MmeYxJsj2N6pFEt9jpOm7LYnF71p9qHttb9dTbnOPnAntk\n2q5Obc8CZuUcezlweTvnn9D+GEKZnRocqTYRf8YZ4UFXhxzS3js6juM0Fr3+SYvQ/p3r2fa8mVl9\n+sDIkZvfZ9IoxMSd03XclsXi9qw/1eRMejR53gjkt/fv3/b+Aw4o9XEcx3EazDNJI+Un0ttLtF97\nLcyfX8zYehIely4Ot2WxuD3rT0OISR7tzcpqK9He8x5g7DiOUzsaWkwqYQYf+xi8972NO2srD49L\nF4fbsljcnvWnYcSk0oOt8hLtJ58MTzzhYuI4jlMtDSsm0PYjfdtqb1Q8Ll0cbsticXvWn4YVk7Y8\nk4jf6e44jlMdvV5MoohU8kyimLhnUh0ely4Ot2WxuD3rT68Xk/Td71kqPR8+TgvOtjuO4zj59Hox\niVQKc517Llx4YblopB9y5WJSjseli8NtWSxuz/rT6++Aj1QSkxNPDNvf/34oH320vI+LieM4TnW0\n+zyTnowkmzrVeOABGDgQ3n23tG/33WHx4rA9ahS8/vrm4jF+PLzwgouK4ziNRWeeZ9IwYa7sWlrV\n3MHus7kcx3Gqo2HFpCn1yfM8j3XrajeenojHpYvDbVksbs/60+tzJtED6Yxn8vWvw8qVxY/JcRyn\nt9HrcybTphnNzSH/8fzzpX2TJoXnvwNsuy28+abnRhzHccBzJrnssANMnFje5qv+Oo7jFEdDiMnv\nfw833FDelhYT90iqw+PSxeG2LBa3Z/2puZhImi5pkaTFks6tsH+qpBWS/pS8LkjtO1/SAklPSLpR\nUv+k/duSFkpqkXSrpK3bGsOECWH6b/n7lrZdTBzHcbpGTcVEUhPwPeDjwN7ASZL2rND1QTPbN3ld\nkhw7DjgV2MfM3keYLDAj6X83sLeZTQaeBc7v+Ng6/HEaHl//qDjclsXi9qw/tfZMpgDPmtkLZrYB\nmAMcU6FfpUv7KmA9MERSX2Aw8DKAmd1rZvEukIeAnTo6MPdMHMdxiqPWYjIGeClVX5q0ZTkoCVnd\nKWkSgJm9BVwJvAgsA1aY2b0Vjv1H4K68AeR5IO6ZdByPSxeH27JY3J71pzvcZzIfGGtmayQdAdwG\nTJS0K/BlYBywErhF0slmdlM8UNK/ARvSbVmefnomF144PqkNByYD05BKX0CzaUCpHl1mr5fXW1pa\nutV4vO51rxdTb25uZvbs2QCMHz+ezlDT+0wkHQhcaGbTk/p5gJnZZW0cswTYDzgMONzMTk3aTwEO\nMLMzkvpMQk7lo2ZW8V51SXbIIcZvfxvrpX377gvz54ft4cPDzYke7nIcx+me95nMA3aTNC6ZiTUD\nuCPdQdLo1PYUgsAtB54BDpQ0UJKAQ4GFSb/pwDnA0XlCEskTCM+ZOI7jFEdNxcTMNgFnEGZfLQDm\nmNlCSadLOi3pdrykpyQ9BlwFnJgc+zjwE0IY7HFCkv6a5JjvAlsB9yTTib/flXH6go7VEd1ip+u4\nLYvF7Vl/ap4zMbO5wB6ZtqtT27OAWTnHXg5cXqF99yLHuGFDkWdzHMdpPHr92lx5OZMTT4Q5c8J2\nnz7BO+nFpnAcx6mazuRMusNsri3OW2+Fh2VFPvMZFxLHcZyu0BBrc2UZPrxcTK67DpJZcU4beFy6\nONyWxeL2rD8NKSaO4zhOsTRkzqQXf2THcZwu0x3vM3Ecx3EaABcTp2o8Ll0cbsticXvWn14vJr6g\no+M4Tu3p9TmTj37UuO++WA9lL/7IjuM4XcZzJo7jOE5dcDFxqsbj0sXhtiwWt2f96fVi4jkTx3Gc\n2tPrcyaHHWbcc0+sh7IXf2THcZwu4zmTCrhn4jiOU3tcTJyq8bh0cbgti8XtWX8aTkyaev0ndhzH\n2fL0+pzJ9OnGXXfFOvTrB+vX13dcjuM43RnPmVQg64n06VOfcTiO4/Rmer2YZMNcLiadx+PSxeG2\nLBa3Z/2puZhImi5pkaTFks6tsH+qpBWS/pS8LkjtO1/SAklPSLpRUv+kfYSkuyU9I+k3koblv39p\n+8IL4eKLC/14juM4DjXOmUhqAhYDhwIvA/OAGWa2KNVnKvBVMzs6c+w44H5gTzNbL+lnwJ1m9hNJ\nlwFvmtm3E4EaYWbnVXh/O+oo4447avYRHcdxeh3dMWcyBXjWzF4wsw3AHOCYCv0qDXoVsB4YIqkv\nMBhYluw7Brg+2b4e+ETeAHz2luM4Tu2p9aV2DPBSqr40actykKQWSXdKmgRgZm8BVwIvEkRkhZkl\n6/8yysxeS/q9CozKG4DfZ1IcHpcuDrdlsbg960/feg8AmA+MNbM1ko4AbgMmStoV+DIwDlgJ3CLp\nZDO7qcI5cmN18+fP5MILxwMwfPhwJk+ezLRp04DSF9Dr1dVbWlq61Xi87nWvF1Nvbm5m9uzZAIwf\nP57OUOucyYHAhWY2PamfB5iZXdbGMUuA/YDDgMPN7NSk/RTgADM7Q9JCYJqZvSZpe+B+M9urwrns\nuOOMW24p/rM5juP0VrpjzmQesJukcclMrBlAWTpc0ujU9hSCwC0HngEOlDRQkghJ/IVJ1zuAmcn2\nZ4Hb8wbgYS7HcZzaU1MxMbNNwBnA3cACYI6ZLZR0uqTTkm7HS3pK0mPAVcCJybGPAz8hhMEeJyTp\nr0mOuQw4XNIzBJG5NG8MLibFEd1ip+u4LYvF7Vl/ap4zMbO5wB6ZtqtT27OAWTnHXg5cXqF9OSEM\n1i4uJo7jOLWn16/NNWOGcfPN9R6J4zhOz6E75kzqzpAh9R6B4zhO76fXi8mVV9Z7BL0Hj0sXh9uy\nWNye9afXi8mw3FW7HMdxnKLo9TmT3vz5HMdxaoHnTBzHcZy64GLiVI3HpYvDbVksbs/642LiOI7j\ndBnPmTiO4zhleM7EcRzHqQsuJk7VeFy6ONyWxeL2rD8uJo7jOE6X8ZyJ4ziOU4bnTBzHcZy64GLi\nVI3HpYvDbVksbs/642LiOI7jdBnPmTiO4zhleM7EcRzHqQs1FxNJ0yUtkrRY0rkV9k+VtELSn5LX\nBUn7REmPJW2PSVop6axk3xRJjyTtj0j6QK0/h+Nx6SJxWxaL27P+1FRMJDUB3wM+DuwNnCRpzwpd\nHzSzfZPXJQBmttjM9jGzfYH9gHeAXyb9LwMuMLN9gG9Q4TnxTvG0tLTUewi9Brdlsbg960+tPZMp\nwLNm9oKZbQDmAMdU6NdebO4w4M9mtjSpvwLEx14NB5YVMVinbVasWFHvIfQa3JbF4vasP31rfP4x\nwEup+lKCwGQ5SFILQRTOMbOnM/tPBG5O1c8D/iDpSoIQfbC4ITuO4zgdpTsk4OcDY81sMiEkdlt6\np6R+wNHAL1LN1wJnmtlY4MvAj7fQWBua559/vt5D6DW4LYvF7Vl/ajo1WNKBwIVmNj2pnweYmV3W\nxjFLgP3MbHlSPxr4QjxH0rbKzLZO1Vea2WZPe5fk84Idx3E6QUenBtc6zDUP2E3SOEKeYwZwUrqD\npNFm9lqyPYUgcMtTXU6iPMQF8KykqWb2gKRDgcWV3ryjxnAcx3E6R03FxMw2SToDuJsQUrvWzBZK\nOj3stmuA4yV9HtgArCXkRwCQNJiQfD8tc+rTgVmS+gPvVtjvOI7jbEF69R3wjuM4zpahOyTgC6e9\nGyWdjiHpeUmPx5tE6z2enoakayW9JumJVNsISXdLekbSbyRtlvNzKpNjz29IWpq6+Xl6W+dwApJ2\nkvRbSQskPZm6MbzD389eJyYduFHSqZ5WYFpyE2mlqd1O21xH+D6mOQ+418z2AH4LnL/FR9VzqWRP\ngO+kbn6eu6UH1UPZCHzFzPYGDgK+mFwvO/z97HViQvU3SjrVI3rnd2WLYGa/B97KNB8DXJ9sXw98\nYosOqgeTY09o/+ZnJ4OZvWpmLcn2amAhsBOd+H72xgtEpRslx9RpLL0FA+6RNE/SqfUeTC9hVJzF\naGavAqPqPJ7ewBmSWiT9t4cNO46k8cBk4CFgdEe/n71RTJzi+VCyRtqRBDf4w/UeUC/EZ8J0je8D\nE5Kbn18FvlPn8fQoJG0F3AKcnXgo2e9ju9/P3igmy4CxqfpO+NpdXcLMXknK14FfUXlJHKdjvCZp\nNICk7YG/1nk8PRozez318KIfAfvXczw9CUl9CUJyg5ndnjR3+PvZG8XkbzdKJvehzADuqPOYeiyS\nBie/WpA0BPgY8FR9R9UjEeUx/TuAmcn2Z4Hbswc4bVJmz+SCF/kk/h3tCD8Gnjaz/0y1dfj72Svv\nM0mmBf4npRslL63zkHosknYheCNGuMn1Rrdnx5B0EzAN2AZ4jfDYhNsI683tDLwAfMrMfOnbKsix\n5yGEeH8r8Dxweoz5O/lI+hDwIPAk4X/cgK8BjwA/pwPfz14pJo7jOM6WpTeGuRzHcZwtjIuJ4ziO\n02VcTBzHcZwu42LiOI7jdBkXE8dxHKfLuJg4juM4XcbFxHG6iKRhyQPe8vb3l/SApA4vRCjpLEmn\ndG2EjlN7XEwcp+uMAL7Qxv5PA7+2zt3UdR1wZqdG5ThbEBcTx+k6/w+YkDyU6bIK+08mWY5C0lRJ\n90v6haSFkm6InSRdKumpZOXbbwOY2dvAG5ImbYkP4jidpabPgHecBuE8YO9kZeUykoe1vcfMFqea\nJwOTCKvb/kHSB4FFwCfMbM/kuK1T/ecBBwNP12j8jtNl3DNxnNqyLbAq0/aImb2ShL1agPHASmBt\n8iyOY4G1qf4vJ30cp9viYuI4tSebeF+X2t4E9DWzTYSl/W8B/g5IP3ZW+PNOnG6Oh7kcp+u8DQzN\n2fcGsFV7J5A0GBhiZnMl/RF4LrV7B8LKrY7TbXHPxHG6iJktJ+Q+nsgm4M2sFXhK0sS8w5Nya+DX\nkh4nLAn+5VSfKcDvCh624xSKL0HvODVG0meB7c2s0kyv9o4dCtxnZv50S6db456J49Sem4EjO3PT\nIvAPwH8VPB7HKRz3TBzHcZwu456J4ziO02VcTBzHcZwu42LiOI7jdBkXE8dxHKfLuJg4juM4XcbF\nxHEcx+ky/x9o8NztSkhbZAAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f39472cb550>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import glob\n", "import matplotlib.pyplot as plt\n", "from field import load_oommf_file\n", "\n", "# Compute the <my>\n", "t_list = []\n", "myav = []\n", "for i in range(stages):\n", " omf_filename = glob.glob('fmr_standard_problem/fmr_standard_problem-Oxs_TimeDriver-Spin-%09d-*.omf' % i)[0]\n", " m_field = load_oommf_file(omf_filename)\n", " t_list.append(i*total_time/stages)\n", " myav.append(m_field.average()[1])\n", " \n", "t_array = np.array(t_list)\n", "myav = np.array(myav)\n", "\n", "# Plot <my> time evolution.\n", "plt.plot(t_array/1e-9, myav)\n", "plt.xlabel('t (ns)')\n", "plt.ylabel('my average')\n", "plt.grid()" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "From the $<m_{y}>$ time evolution, we can compute and plot its Fourier transform." ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYUAAAEPCAYAAACtCNj2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f394718ad50>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import scipy.fftpack\n", "\n", "psd = np.log10(np.abs(scipy.fftpack.fft(myav))**2)\n", "f_axis = scipy.fftpack.fftfreq(stages, d=total_time/stages)\n", "\n", "plt.plot(f_axis/1e9, psd)\n", "plt.xlim([0, 12])\n", "plt.ylim([-4.5, 2])\n", "plt.xlabel('f (GHz)')\n", "plt.ylabel('Psa (a.u.)')\n", "plt.grid()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## References\n", "[1] A. Baker, M. Beg, G. Ashton, M. Albert, D. Chernyshenko, W. Wang, S. Zhang, M.-A. Bisotti, M. Franchin, C.L. Hu, R. Stamps, T. Hesjedal, and H. Fangohr, arXiv 1603.0541 (2016)." ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.6" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-2-clause
frodo81/EnergyMonitor
emNotebook.ipynb
2
1662776
null
gpl-2.0
gangadhara691/gangadhara691.github.io
P3 wrangle_data/DataWrangling_ganga.ipynb
1
30449
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# **P3 - Data Wrangling with MongoDB**\n", "# **OpenStreetMap Project Data Wrangling with MongoDB**\n", "## Gangadhara Naga Sai<a name=\"top\"></a>\n", "Data used -<a href=https://mapzen.com/metro-extracts/> MapZen Weekly OpenStreetMaps Metro Extracts</a>\n", "\n", "\n", "Map Areas:\n", " These two maps are selected since ,right now i am living at Hoodi,Bengaluru. And my dream is to do my masters in japan in robotics,so i had selected locality of University of tokyo, Bunkyo.I really wanted to explore differences between the regions.\n", " \n", " \n", "- <a href=https://mapzen.com/data/metro-extracts/your-extracts/fdd7c4ef0518> Bonkyu,Tokyo,Japan. </a> \n", "- <a href=https://mapzen.com/data/metro-extracts/your-extracts/c1f2842408ac> Hoodi,Bengaluru,india </a>\n", " \n", " \n", "<hr>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1. [Problems Encountered in the Map](#problems)\n", " - [Filtering Different Language names](#Language)\n", " - [Over-­abbreviated Names](#abbr)\n", " - [Merging both cities](#combine_cities)\n", "2. [Data Overview](#data_overview)\n", "3. [Additional Data Exploration using MongoDB](#exploration)\n", "4. [Conclusion](#conclusion)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<hr>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<h2><a name=\"problems\"></a> **1. Problems Encountered**</h2>\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "- Some of names were in different Languages so ,i had to filter out them and select english names for both maps Hoodi and Bunkyo \n", "- Street names with different types of abbreviations. (i.e. 'Clark Ave SE' or 'Eubank Northeast Ste E-18') \n", "- Two cities have to be accessed from one database" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Names in Different Language<a name=\"Language\"></a>\n", "Different regions have different languages ,and we find that someof names were in different language which are filltered to get only english names.\n", "Which would check weather the charecters belong to ascii or not\n" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def isEnglish(string):\n", " try:\n", " string.encode('ascii')\n", " except UnicodeEncodeError:\n", " return False\n", " else:\n", " return True" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<hr>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### **Over-­abbreviated Names**<a name=\"abbr\"></a>\n", "\n", "Since the most of data being manually uploaded, there are lot of abbreviations in street names,locality names.\n", "Where they are filtered and replaced with full names.\n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#the city below can be hoodi or bunkyo\n", "for st_type, ways in city_types.iteritems():\n", " for name in ways:\n", " better_name = update_name(name, mapping)\n", " if name != better_name:\n", " print name, \"=>\", better_name" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#few examples \n", "Bunkyo:\n", "Meidai Jr. High Sch. => Meidai Junior High School\n", "St. Mary's Cathedral => Saint Mary's Cathedral\n", "Shinryukei brdg. E. => Shinryukei Bridge East\n", "Iidabashi Sta. E. => Iidabashi Station East\n", "...\n", "\n", "Hoodi:\n", "St. Thomas School => Saint Thomas School\n", "Opp. Jagrithi Apartment => Opposite Jagrithi Apartment\n", "..." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<hr>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### **Merging Both cities**<a name=\"combine_cities\"></a>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "These two maps are selected since ,right now i am living at Hoodi,Bengaluru. And one day i want do my masters in japan in robotics,so i had selected locality of University of tokyo, Bunkyo.I really wanted to explore differences between the regions. \n", "\n", "I need to add a tag named \"city\" so i can differentiate them from the database." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<hr>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## **2. Data Overview**<a name=\"data_overview\"></a>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This section contains basic statistics about the dataset and the MongoDB queries used to gather them." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### **File Sizes**" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "bangalore.osm -40MB\n", "bangalore.osm.json-51MB\n", "tokyo1.osm- 82MB\n", "tokyo1.osm.json-102.351MB" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### **Number of documents**" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print \"Bunkyo:\",mongo_db.cities.find({'city':'bunkyo'}).count()\n", "print \"Hoodi:\",mongo_db.cities.find({'city':'hoodi'}).count()" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "- Bunkyo: 1268292\n", "- Hoodi: 667842" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### **Number of node nodes.**" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print \"Bunkyo:\",mongo_db.cities.find({\"type\":\"node\",\n", " 'city':'bunkyo'}).count()\n", "print \"Hoodi:\",mongo_db.cities.find({\"type\":\"node\",\n", " 'city':'hoodi'}).count()" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "Bunkyo: 1051170\n", "Hoodi: 548862" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### **Number of way nodes.**" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print \"Bunkyo:\",mongo_db.cities.find({'type':'way',\n", " 'city':'bunkyo'}).count()\n", "print \"Hoodi:\",mongo_db.cities.find({'type':'way',\n", " 'city':'hoodi'}).count()" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "Bunkyo: 217122\n", "Hoodi: 118980" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### **Total Number of contributor.**" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print \"Constributors:\", len(mongo_db.cities.distinct(\"created.user\"))" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "Contributors: 858" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<hr>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## **3. Additional Data Exploration using MongoDB**<a name=\"exploration\"></a>\n", "I am going to use the pipeline function to retrive data from the database" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def pipeline(city):\n", " p= [{\"$match\":{\"created.user\":{\"$exists\":1},\n", " \"city\":city}},\n", " {\"$group\": {\"_id\": {\"City\":\"$city\",\n", " \"User\":\"$created.user\"},\n", " \"contribution\": {\"$sum\": 1}}}, \n", " {\"$project\": {'_id':0,\n", " \"City\":\"$_id.City\",\n", " \"User_Name\":\"$_id.User\",\n", " \"Total_contribution\":\"$contribution\"}},\n", " {\"$sort\": {\"Total_contribution\": -1}},\n", " {\"$limit\" : 5 }]\n", " return p\n", "result1 =mongo_db[\"cities\"].aggregate(pipeline('bunkyo'))\n", "for each in result1: \n", " print(each)\n", "print(\"\\n\")\n", "result2 =mongo_db[\"cities\"].aggregate(pipeline('hoodi'))\n", "for each in result2: \n", " print(each)\n" ] }, { "cell_type": "raw", "metadata": { "collapsed": false }, "source": [ "Bunkyo:\n", "{u'City': u'bunkyo', u'User_Name': u'kurauchi', u'Total_contribution': 667425}\n", "{u'City': u'bunkyo', u'User_Name': u'watao', u'Total_contribution': 216855}\n", "{u'City': u'bunkyo', u'User_Name': u'higa4', u'Total_contribution': 40845}\n", "{u'City': u'bunkyo', u'User_Name': u'ikiya', u'Total_contribution': 37287}\n", "{u'City': u'bunkyo', u'User_Name': u'javbw', u'Total_contribution': 26655}\n", "\n", "Hoodi:\n", "{u'City': u'hoodi', u'User_Name': u'praveeng', u'Total_contribution': 75153}\n", "{u'City': u'hoodi', u'User_Name': u'akhilsai', u'Total_contribution': 70509}\n", "{u'City': u'hoodi', u'User_Name': u'anthony1', u'Total_contribution': 52194}\n", "{u'City': u'hoodi', u'User_Name': u'anushapyata', u'Total_contribution': 45540}\n", "{u'City': u'hoodi', u'User_Name': u'docaneesh', u'Total_contribution': 38703}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The top contributors for hoodi are no where near since bunkyo being a more compact region than hoodi ,there are more places to contribute." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<hr>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### To get the top Amenities in Hoodi and Bunkyo\n", "I will be showing the pipeline that will go in the above mentioned \"Pipleline\" function" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "pipeline=[{\"$match\":{\"Additional Information.amenity\":{\"$exists\":1},\n", " \"city\":city}},\n", " {\"$group\": {\"_id\": {\"City\":\"$city\",\n", " \"Amenity\":\"$Additional Information.amenity\"},\n", " \"count\": {\"$sum\": 1}}},\n", " {\"$project\": {'_id':0,\n", " \"City\":\"$_id.City\",\n", " \"Amenity\":\"$_id.Amenity\",\n", " \"Count\":\"$count\"}},\n", " {\"$sort\": {\"Count\": -1}},\n", " {\"$limit\" : 10 }]" ] }, { "cell_type": "raw", "metadata": {}, "source": [ "Bunkyo:\n", "{u'Count': 1587, u'City': u'bunkyo', u'Amenity': u'parking'}\n", "{u'Count': 1497, u'City': u'bunkyo', u'Amenity': u'restaurant'}\n", "{u'Count': 933, u'City': u'bunkyo', u'Amenity': u'cafe'}\n", "{u'Count': 792, u'City': u'bunkyo', u'Amenity': u'fast_food'}\n", "{u'Count': 723, u'City': u'bunkyo', u'Amenity': u'school'}\n", "{u'Count': 606, u'City': u'bunkyo', u'Amenity': u'place_of_worship'}\n", "{u'Count': 525, u'City': u'bunkyo', u'Amenity': u'vending_machine'}\n", "{u'Count': 507, u'City': u'bunkyo', u'Amenity': u'bench'}\n", "{u'Count': 354, u'City': u'bunkyo', u'Amenity': u'pub'}\n", "{u'Count': 342, u'City': u'bunkyo', u'Amenity': u'kindergarten'}\n", "\n", "Hoodi:\n", "{u'Count': 528, u'City': u'hoodi', u'Amenity': u'restaurant'}\n", "{u'Count': 216, u'City': u'hoodi', u'Amenity': u'school'}\n", "{u'Count': 189, u'City': u'hoodi', u'Amenity': u'atm'}\n", "{u'Count': 162, u'City': u'hoodi', u'Amenity': u'pharmacy'}\n", "{u'Count': 162, u'City': u'hoodi', u'Amenity': u'parking'}\n", "{u'Count': 162, u'City': u'hoodi', u'Amenity': u'place_of_worship'}\n", "{u'Count': 141, u'City': u'hoodi', u'Amenity': u'bank'}\n", "{u'Count': 141, u'City': u'hoodi', u'Amenity': u'fast_food'}\n", "{u'Count': 138, u'City': u'hoodi', u'Amenity': u'hospital'}\n", "{u'Count': 102, u'City': u'hoodi', u'Amenity': u'cafe'}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### As compared to hoodi ,bunkyo have few atms,And parking can be commonly found in bunkyo locality" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<hr>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### popular places of worship\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ " p = [{\"$match\":{\"Additional Information.amenity\":{\"$exists\":1},\n", " \"Additional Information.amenity\":\"place_of_worship\",\n", " \"city\":city}},\n", " {\"$group\":{\"_id\": {\"City\":\"$city\",\n", " \"Religion\":\"$Additional Information.religion\"},\n", " \"count\":{\"$sum\":1}}},\n", " {\"$project\":{\"_id\":0,\n", " \"City\":\"$_id.City\",\n", " \"Religion\":\"$_id.Religion\",\n", " \"Count\":\"$count\"}},\n", " {\"$sort\":{\"Count\":-1}},\n", " {\"$limit\":6}]" ] }, { "cell_type": "raw", "metadata": { "collapsed": false }, "source": [ "Bunkyo: \n", "{u'Count': 303, u'City': u'bunkyo', u'Religion': u'buddhist'}\n", "{u'Count': 132, u'City': u'bunkyo', u'Religion': u'shinto'}\n", "{u'Count': 123, u'City': u'bunkyo'}\n", "{u'Count': 39, u'City': u'bunkyo', u'Religion': u'christian'}\n", "{u'Count': 3, u'City': u'bunkyo', u'Religion': u'muslim'}\n", "{u'Count': 3, u'City': u'bunkyo', u'Religion': u'confucian'}\n", "\n", "Hoodi:\n", "{u'Count': 90, u'City': u'hoodi', u'Religion': u'hindu'}\n", "{u'Count': 30, u'City': u'hoodi', u'Religion': u'christian'}\n", "{u'Count': 24, u'City': u'hoodi'}\n", "{u'Count': 18, u'City': u'hoodi', u'Religion': u'muslim'}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "As expected japan is popular with buddism,\n", "\n", "\n", "but india being a secular country it will be having most of the reglious places of worship,where hinduism being majority" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<hr>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### popular restaurants" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "p = [{\"$match\":{\"Additional Information.amenity\":{\"$exists\":1},\n", " \"Additional Information.amenity\":\"restaurant\",\n", " \"city\":city}},\n", " {\"$group\":{\"_id\":{\"City\":\"$city\",\n", " \"Food\":\"$Additional Information.cuisine\"},\n", " \"count\":{\"$sum\":1}}},\n", " {\"$project\":{\"_id\":0,\n", " \"City\":\"$_id.City\",\n", " \"Food\":\"$_id.Food\",\n", " \"Count\":\"$count\"}},\n", " {\"$sort\":{\"Count\":-1}}, \n", " {\"$limit\":6}]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "{u'Count': 582, u'City': u'bunkyo'}\n", "{u'Food': u'japanese', u'City': u'bunkyo', u'Count': 192}\n", "{u'Food': u'chinese', u'City': u'bunkyo', u'Count': 126}\n", "{u'Food': u'italian', u'City': u'bunkyo', u'Count': 69}\n", "{u'Food': u'indian', u'City': u'bunkyo', u'Count': 63}\n", "{u'Food': u'sushi', u'City': u'bunkyo', u'Count': 63}\n", "\n", "\n", "{u'Count': 213, u'City': u'hoodi'}\n", "{u'Food': u'regional', u'City': u'hoodi', u'Count': 75}\n", "{u'Food': u'indian', u'City': u'hoodi', u'Count': 69}\n", "{u'Food': u'chinese', u'City': u'hoodi', u'Count': 36}\n", "{u'Food': u'international', u'City': u'hoodi', u'Count': 24}\n", "{u'Food': u'Andhra', u'City': u'hoodi', u'Count': 21}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Indian style cusine in Bunkyo seems famous, Which will be better if i go to japan and do my higher studies there." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<hr>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### popular fast food joints\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ " p = [{\"$match\":{\"Additional Information.amenity\":{\"$exists\":1},\n", " \"Additional Information.amenity\":\"fast_food\",\n", " \"city\":city}},\n", " {\"$group\":{\"_id\":{\"City\":\"$city\",\n", " \"Food\":\"$Additional Information.cuisine\"},\n", " \"count\":{\"$sum\":1}}},\n", " {\"$project\":{\"_id\":0,\n", " \"City\":\"$_id.City\",\n", " \"Food\":\"$_id.Food\",\n", " \"Count\":\"$count\"}},\n", " {\"$sort\":{\"Count\":-1}}, \n", " {\"$limit\":6}]" ] }, { "cell_type": "raw", "metadata": { "collapsed": false }, "source": [ "{u'Count': 246, u'City': u'bunkyo'}\n", "{u'Food': u'burger', u'City': u'bunkyo', u'Count': 102}\n", "{u'Food': u'ramen', u'City': u'bunkyo', u'Count': 81}\n", "{u'Food': u'japanese', u'City': u'bunkyo', u'Count': 54}\n", "{u'Food': u'noodle', u'City': u'bunkyo', u'Count': 51}\n", "{u'Food': u'noodle;ramen', u'City': u'bunkyo', u'Count': 33}\n", "\n", "\n", "{u'Count': 66, u'City': u'hoodi'}\n", "{u'Food': u'pizza', u'City': u'hoodi', u'Count': 21}\n", "{u'Food': u'indian', u'City': u'hoodi', u'Count': 12}\n", "{u'Food': u'chicken', u'City': u'hoodi', u'Count': 6}\n", "{u'Food': u'ice_cream', u'City': u'hoodi', u'Count': 6}\n", "{u'Food': u'burger', u'City': u'hoodi', u'Count': 6}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Burger seems very popular among japanese in fast foods,i was expecting ramen to be more popular\n", "\n", ", but in hoodi pizza is really common,being a metropolitan city." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<hr>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### ATM's near locality\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ " p = [{\"$match\":{\"Additional Information.amenity\":{\"$exists\":1},\n", " \"Additional Information.amenity\":\"atm\",\n", " \"city\":city}},\n", " {\"$group\":{\"_id\":{\"City\":\"$city\",\n", " \"Name\":\"$Additional Information.name:en\"},\n", " \"count\":{\"$sum\":1}}},\n", " {\"$project\":{\"_id\":0,\n", " \"City\":\"$_id.City\",\n", " \"Name\":\"$_id.Name\",\n", " \"Count\":\"$count\"}},\n", " {\"$sort\":{\"Count\":-1}}, \n", " {\"$limit\":4}]" ] }, { "cell_type": "raw", "metadata": { "collapsed": false }, "source": [ "{u'Count': 75, u'City': u'bunkyo'}\n", "{u'Count': 6, u'City': u'bunkyo', u'Name': u'JP Bank'}\n", "{u'Count': 6, u'City': u'bunkyo', u'Name': u'Mizuho'}\n", "\n", "\n", "{u'Count': 177, u'City': u'hoodi'}\n", "{u'Count': 3, u'City': u'hoodi', u'Name': u'Axis bank ATM'}\n", "{u'Count': 3, u'City': u'hoodi', u'Name': u'HDFC'}\n", "{u'Count': 3, u'City': u'hoodi', u'Name': u'Canara'}\n", "{u'Count': 3, u'City': u'hoodi', u'Name': u'State bank ATM'}\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "There are quite a few ATM in Bunkyo as compared to hoodi" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<hr>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Martial arts or Dojo Center near locality\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "## Martial arts or Dojo Center near locality\n", "import re\n", "\n", "pat = re.compile(r'dojo', re.I)\n", "d=mongo_db.cities.aggregate([{\"$match\":{ \"$or\": [ { \"Additional Information.name\": {'$regex': pat}}\n", " ,{\"Additional Information.amenity\": {'$regex': pat}}]}}\n", " ,{\"$group\":{\"_id\":{\"City\":\"$city\"\n", " , \"Sport\":\"$Additional Information.name\"}}}])\n", "for each in d: \n", " print(each)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "bunkyo: \n", "{u'_id': {u'City': u'bunkyo', u'Sport': u'Aikikai Hombu Dojo'}}\n", "{u'_id': {u'City': u'bunkyo', u'Sport': u'Kodokan Dojo'}}\n", "\n", "hoodi:\n", "{u'_id': {u'City': u'hoodi', u'Sport': u\"M S Gurukkal's Kalari Academy\"}}\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "I wanted to learn martial arts , \n", "In japan is known for its akido and other ninjistsu martial arts , where i can find some in bunkyo\n", "Where as in hoodi,india Kalaripayattu Martial Arts are one of the ancient arts that ever existed." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<hr>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### most popular shops.\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ " p = [{\"$match\":{\"Additional Information.shop\":{\"$exists\":1},\n", " \"city\":city}},\n", " {\"$group\":{\"_id\":{\"City\":\"$city\",\n", " \"Shop\":\"$Additional Information.shop\"},\n", " \"count\":{\"$sum\":1}}},\n", " {\"$project\": {'_id':0,\n", " \"City\":\"$_id.City\",\n", " \"Shop\":\"$_id.Shop\",\n", " \"Count\":\"$count\"}},\n", " {\"$sort\":{\"Count\":-1}},\n", " {\"$limit\":10}]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "{u'Shop': u'convenience', u'City': u'bunkyo', u'Count': 1035}\n", "{u'Shop': u'clothes', u'City': u'bunkyo', u'Count': 282}\n", "{u'Shop': u'books', u'City': u'bunkyo', u'Count': 225}\n", "{u'Shop': u'mobile_phone', u'City': u'bunkyo', u'Count': 186}\n", "{u'Shop': u'confectionery', u'City': u'bunkyo', u'Count': 156}\n", "{u'Shop': u'supermarket', u'City': u'bunkyo', u'Count': 150}\n", "{u'Shop': u'computer', u'City': u'bunkyo', u'Count': 126}\n", "{u'Shop': u'hairdresser', u'City': u'bunkyo', u'Count': 90}\n", "{u'Shop': u'electronics', u'City': u'bunkyo', u'Count': 90}\n", "{u'Shop': u'anime', u'City': u'bunkyo', u'Count': 90}\n", "\n", "\n", "{u'Shop': u'clothes', u'City': u'hoodi', u'Count': 342}\n", "{u'Shop': u'supermarket', u'City': u'hoodi', u'Count': 129}\n", "{u'Shop': u'bakery', u'City': u'hoodi', u'Count': 120}\n", "{u'Shop': u'shoes', u'City': u'hoodi', u'Count': 72}\n", "{u'Shop': u'furniture', u'City': u'hoodi', u'Count': 72}\n", "{u'Shop': u'sports', u'City': u'hoodi', u'Count': 66}\n", "{u'Shop': u'electronics', u'City': u'hoodi', u'Count': 60}\n", "{u'Shop': u'beauty', u'City': u'hoodi', u'Count': 54}\n", "{u'Shop': u'car', u'City': u'hoodi', u'Count': 36}\n", "{u'Shop': u'convenience', u'City': u'hoodi', u'Count': 36}" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "The general stores are quite common in both the places" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### most popular supermarkets\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ " p = [{\"$match\":{\"Additional Information.shop\":{\"$exists\":1},\n", " \"city\":city,\n", " \"Additional Information.shop\":\"supermarket\"}},\n", " {\"$group\":{\"_id\":{\"City\":\"$city\",\n", " \"Supermarket\":\"$Additional Information.name\"},\n", " \"count\":{\"$sum\":1}}},\n", " {\"$project\": {'_id':0,\n", " \"City\":\"$_id.City\",\n", " \"Supermarket\":\"$_id.Supermarket\",\n", " \"Count\":\"$count\"}},\n", " {\"$sort\":{\"Count\":-1}},\n", " {\"$limit\":5}]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "{u'Count': 120, u'City': u'bunkyo'}\n", "{u'Count': 9, u'City': u'bunkyo', u'Supermarket': u'Maruetsu'}\n", "{u'Count': 3, u'City': u'bunkyo', u'Supermarket': u\"Y's Mart\"}\n", "{u'Count': 3, u'City': u'bunkyo', u'Supermarket': u'SainE'}\n", "{u'Count': 3, u'City': u'bunkyo', u'Supermarket': u'DAIMARU Peacock'}\n", "\n", "\n", "{u'Count': 9, u'City': u'hoodi', u'Supermarket': u'Reliance Fresh'}\n", "{u'Count': 9, u'City': u'hoodi'}\n", "{u'Count': 6, u'City': u'hoodi', u'Supermarket': u\"Nilgiri's\"}\n", "{u'Count': 3, u'City': u'hoodi', u'Supermarket': u'Royal Mart Supermarket'}\n", "{u'Count': 3, u'City': u'hoodi', u'Supermarket': u'Safal'}\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "These are few common supermarket brands in both the cities\n", "And Nilgiris is like 500 meters away from my home." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<hr>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## **4. Conclusion**<a name=\"conclusion\"></a>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "After such a investigation on this data i think i have become familiar with bunkyo region.\n", "\n", "I was expecting a difficulty in merging both the cities data into a single database ,but seem a simple key like city could differentiate them.\n", "\n", "There might be even robust cleaning algorithms to a better and clean database,as most of the data is from gps that goes into OpenStreetMap.org. Which needed to be regularly cleaned.\n", "\n", "From the comparision of both the cities these are qiute similar and bunkyo region interests me even more to pursue higher studies." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<hr>" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
probml/pyprobml
notebooks/book1/10/iris_logreg.ipynb
1
316
{ "cells": [ { "cell_type": "markdown", "id": "75544f56", "metadata": {}, "source": [ "Source of this notebook is here: https://colab.research.google.com/github/probml/pyprobml/blob/master/notebooks/book1/02/iris_logreg.ipynb" ] } ], "metadata": {}, "nbformat": 4, "nbformat_minor": 5 }
mit
SumitDutta1997/Toy-Projects.
Tic_Tac_Toe.ipynb
1
5108
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# TIC TAC TOE\n", "\n", "from IPython.display import clear_output\n", "\n", "def display_board(board):\n", " clear_output()\n", " \n", " print(board[1] + ' | ' + board[2] + ' | ' + board[3])\n", " print('__|___|__')\n", " print(board[4] + ' | ' + board[5] + ' | ' + board[6])\n", " print('__|___|__')\n", " print(board[7] + ' | ' + board[8] + ' | ' + board[9])\n", " print(' | | ')\n", "\n", "\n", "def player_chooses_X_or_Y():\n", " marker = ''\n", " while marker != 'X' and marker != 'O':\n", " marker = input('Player1 : Choose X or O : ').upper()\n", " if marker == 'X':\n", " return ('X','O')\n", " else:\n", " return ('O','X')\n", "\n", "\n", "import random\n", "def who_goes_first():\n", " flip = random.randint(0,1)\n", " if flip == 0:\n", " return 'player1'\n", " else:\n", " return 'player2'\n", "\n", "\n", "def place_position(board , marker , position):\n", " board[position] = marker\n", "\n", "\n", "def win_check(board , marker):\n", " if ((board[1] == board[2] == board[3] == marker) or\n", " (board[4] == board[5] == board[6] == marker) or\n", " (board[7] == board[8] == board[9] == marker) or \n", " (board[1] == board[4] == board[7] == marker) or \n", " (board[2] == board[5] == board[8] == marker) or \n", " (board[3] == board[6] == board[9] == marker) or \n", " (board[1] == board[5] == board[9] == marker) or \n", " (board[3] == board[5] == board[7] == marker)):\n", " return True\n", " else:\n", " return False\n", "\n", "\n", "def space_available(board , position):\n", " return board[position] == ' '\n", "\n", "\n", "def full_board_check(board):\n", " for i in range(1,10):\n", " if space_available(board , i):\n", " return False\n", " return True\n", "\n", "\n", "def player_choice(board):\n", " position = 0\n", " while position not in [1,2,3,4,5,6,7,8,9] or not space_available(board,position):\n", " position = int(input('Choose a position : (1,9) '))\n", " return position\n", "\n", "\n", "def play_again():\n", " choice = input('Do you want to play again? Yes or No : ')\n", " return choice == 'Yes'\n", "\n", "\n", "print('Welcome to TIC TAC TOE')\n", "while True:\n", " the_board = [' ']*10\n", " player1_marker , player2_marker = player_chooses_X_or_Y()\n", " turn = who_goes_first()\n", " print(turn + ' will go first')\n", " play_game = input('Ready to play? y or n : ')\n", " if play_game == 'y':\n", " game_on = True\n", " else:\n", " game_on = False\n", "\n", " while game_on:\n", " if turn == 'Player1':\n", " display_board(the_board)\n", " position = player_choice(the_board)\n", " place_position(the_board, player1_marker , position)\n", " if win_check(the_board , player1_marker):\n", " display_board(the_board)\n", " print('Player1 has WON!')\n", " game_on = False\n", " else:\n", " if full_board_check(the_board):\n", " display_board(the_board)\n", " print('TIE game!')\n", " game_on = False\n", " else:\n", " turn = 'Player2'\n", " else:\n", " display_board(the_board)\n", " position = player_choice(the_board)\n", " place_position(the_board, player2_marker , position)\n", " if win_check(the_board , player2_marker):\n", " display_board(the_board)\n", " print('Player2 has WON!')\n", " game_on = False\n", " else:\n", " if full_board_check(the_board):\n", " display_board(the_board)\n", " print('TIE game!')\n", " game_on = False\n", " else:\n", " turn = 'Player1'\n", " if not play_again():\n", " break\n", " " ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.3" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
hschilling/learning_ipython
complex_step_method-log_plot.ipynb
1
54041
{ "metadata": { "name": "" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Taken from http://kitchingroup.cheme.cmu.edu/blog/2013/02/27/A-novel-way-to-numerically-estimate-the-derivative-of-a-function-complex-step-derivative-approximation/" ] }, { "cell_type": "code", "collapsed": false, "input": [ "import numpy as np\n", "import matplotlib.pyplot as plt\n", "\n", "def f(x): return np.sin(3*x)*np.log(x)\n" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "x = 0.7\n", "h_exp = range( 1, 30 )\n", "hs = map( lambda p: 10 ** -p, h_exp )\n", "h_len = len(hs)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "dfdx = 3 * np.cos( 3*x)*np.log(x) + np.sin(3*x) / x\n", "dfdx_finite_diff = map( lambda h: abs( (f(x + h) - f(x))/h - dfdx ) / dfdx, hs )\n", "dfdx_central_diff = map( lambda h: abs( (f(x+h)-f(x-h))/(2*h) - dfdx ) / dfdx, hs )\n", "dfdx_complex_step = map( lambda h: abs( np.imag(f(x + np.complex(0, h))/h) - dfdx ) / dfdx, hs )" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 12 }, { "cell_type": "code", "collapsed": false, "input": [ "fig, axes = plt.subplots(figsize=(12,10))\n", "axes.set_title('calculated derivative as a function of N, where the step size is 10 ** -N')\n", "axes.set_xlabel('N')\n", "axes.set_ylabel('relative error in derivative calculation ')\n", "axes.plot(h_exp, dfdx_finite_diff, color=\"grey\", linestyle='-', linewidth=2.00, marker='+',label=\"finite diff\")\n", "axes.plot(h_exp, dfdx_central_diff, color=\"green\", linestyle='-.', label=\"central diff\")\n", "axes.plot(h_exp, dfdx_complex_step, color=\"red\", linestyle=\":\", marker='+',label=\"complex step\")\n", "axes.legend(loc=3)\n", "axes.set_yscale(\"log\")" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAt4AAAJoCAYAAABRB+Y3AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\niTcRERERkRUw8SYiIiIisoL/AQPMB9+KFEYBAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x10ec85450>" ] } ], "prompt_number": 13 } ], "metadata": {} } ] }
mit
taliamo/Final_Project
organ_pitch/Scripts/.ipynb_checkpoints/upload_env_data-checkpoint.ipynb
1
91647
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "## T. Martz-Oberlander, 2015-11-12, CO2 and Speed of Sound\n", "### Formatting ENVIRONMENTAL CONDITIONS pipe organ data for Python operations\n", "\n", "NOTE: Here, pitch and frequency are used interchangeably to signify the speed of sound from organ pipes.\n", "\n", "The entire script looks for mathematical relationships between CO2 concentration changes and pitch changes from a pipe organ. This script uploads, cleans data and organizes new dataframes, creates figures, and performs statistical tests on the relationships between variable CO2 and frequency of sound from a note played on a pipe organ.\n", "\n", "This uploader script:\n", "\n", "1) Uploads CO2, temp, and RH data files;\n", "\n", "2) Munges it (creates a Date Time column for the time stamps), establishes column contents as floats;\n", "\n", "3) Calculates expected frequency, as per Cramer's equation;\n", "\n", "4) Imports output from pitch_data.py script, the dataframe with measured frequency;\n", "\n", "5) Plots expected frequency curve, CO2 (ppm) curve, and measured pitch points in a figure.\n", "\n", "[ Here I pursue data analysis route 1 (as mentionted in my organ_pitch/notebook.md file), which involves comparing one pitch dataframe with one dataframe of environmental characteristics taken at one sensor location. Both dataframes are compared by the time of data recorded. ]\n", "\n", "\n", "\n" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# I import useful libraries (with functions) so I can visualize my data\n", "# I use Pandas because this dataset has word/string column titles and I like the readability features of commands and finish visual products that Pandas offers\n", "\n", "import pandas as pd\n", "import matplotlib.pyplot as plt\n", "import re\n", "import numpy as np\n", "\n", "%matplotlib inline\n", "\n", "#I want to be able to easily scroll through this notebook so I limit the length of the appearance of my dataframes \n", "from pandas import set_option\n", "set_option('display.max_rows', 10)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\n", "##Uploaded RH and temp data into Python¶\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "First I upload my data set(s). I am working with environmental data from different locations in the church at differnet dates. Files include: environmental characteristics (CO2, temperature (deg C), and relative humidity (RH) (%) measurements). \n", "\n", "I can discard the CO2_2 column values since they are false measurements logged from an empty input jack in the CO2 HOBOWare ^(r) device. " ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>test</th>\n", " <th>time</th>\n", " <th>temp C</th>\n", " <th>RH %</th>\n", " <th>CO2_1</th>\n", " <th>CO2_2</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>04/17/10 11:00:00 AM</td>\n", " <td>20.650</td>\n", " <td>35.046</td>\n", " <td>452.4</td>\n", " <td>689.9</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>04/17/10 11:02:00 AM</td>\n", " <td>20.579</td>\n", " <td>35.105</td>\n", " <td>450.5</td>\n", " <td>677.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>3</td>\n", " <td>04/17/10 11:04:00 AM</td>\n", " <td>20.507</td>\n", " <td>35.229</td>\n", " <td>450.5</td>\n", " <td>663.6</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>4</td>\n", " <td>04/17/10 11:06:00 AM</td>\n", " <td>20.460</td>\n", " <td>35.291</td>\n", " <td>448.7</td>\n", " <td>652.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>5</td>\n", " <td>04/17/10 11:08:00 AM</td>\n", " <td>20.412</td>\n", " <td>35.352</td>\n", " <td>442.0</td>\n", " <td>641.0</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>10853</th>\n", " <td>10854</td>\n", " <td>2005-02-10 12:46</td>\n", " <td>21.581</td>\n", " <td>44.604</td>\n", " <td>501.2</td>\n", " <td>483.5</td>\n", " </tr>\n", " <tr>\n", " <th>10854</th>\n", " <td>10855</td>\n", " <td>2005-02-10 12:48</td>\n", " <td>21.581</td>\n", " <td>44.604</td>\n", " <td>504.3</td>\n", " <td>482.9</td>\n", " </tr>\n", " <tr>\n", " <th>10855</th>\n", " <td>10856</td>\n", " <td>2005-02-10 12:50</td>\n", " <td>21.581</td>\n", " <td>44.604</td>\n", " <td>503.7</td>\n", " <td>482.3</td>\n", " </tr>\n", " <tr>\n", " <th>10856</th>\n", " <td>10857</td>\n", " <td>2005-02-10 12:52</td>\n", " <td>21.604</td>\n", " <td>44.575</td>\n", " <td>503.1</td>\n", " <td>481.7</td>\n", " </tr>\n", " <tr>\n", " <th>10857</th>\n", " <td>10858</td>\n", " <td>2005-02-10 12:54</td>\n", " <td>21.604</td>\n", " <td>44.575</td>\n", " <td>498.8</td>\n", " <td>480.5</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>10858 rows × 6 columns</p>\n", "</div>" ], "text/plain": [ " test time temp C RH % CO2_1 CO2_2\n", "0 1 04/17/10 11:00:00 AM 20.650 35.046 452.4 689.9\n", "1 2 04/17/10 11:02:00 AM 20.579 35.105 450.5 677.0\n", "2 3 04/17/10 11:04:00 AM 20.507 35.229 450.5 663.6\n", "3 4 04/17/10 11:06:00 AM 20.460 35.291 448.7 652.0\n", "4 5 04/17/10 11:08:00 AM 20.412 35.352 442.0 641.0\n", "... ... ... ... ... ... ...\n", "10853 10854 2005-02-10 12:46 21.581 44.604 501.2 483.5\n", "10854 10855 2005-02-10 12:48 21.581 44.604 504.3 482.9\n", "10855 10856 2005-02-10 12:50 21.581 44.604 503.7 482.3\n", "10856 10857 2005-02-10 12:52 21.604 44.575 503.1 481.7\n", "10857 10858 2005-02-10 12:54 21.604 44.575 498.8 480.5\n", "\n", "[10858 rows x 6 columns]" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#I import a temp and RH data file\n", "env=pd.read_table('../Data/CO2May.csv', sep=',')\n", "\n", "#assigning columns names\n", "env.columns=[['test', 'time','temp C', 'RH %', 'CO2_1', 'CO2_2']]\n", "\n", "#I display my dataframe\n", "env" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " test time temp C RH % CO2_1 CO2_2\n", "0 1 2010-04-17 11:00:00 20.650 35.046 452.4 689.9\n", "1 2 2010-04-17 11:02:00 20.579 35.105 450.5 677.0\n", "2 3 2010-04-17 11:04:00 20.507 35.229 450.5 663.6\n", "3 4 2010-04-17 11:06:00 20.460 35.291 448.7 652.0\n", "4 5 2010-04-17 11:08:00 20.412 35.352 442.0 641.0\n", "... ... ... ... ... ... ...\n", "10853 10854 2005-02-10 12:46:00 21.581 44.604 501.2 483.5\n", "10854 10855 2005-02-10 12:48:00 21.581 44.604 504.3 482.9\n", "10855 10856 2005-02-10 12:50:00 21.581 44.604 503.7 482.3\n", "10856 10857 2005-02-10 12:52:00 21.604 44.575 503.1 481.7\n", "10857 10858 2005-02-10 12:54:00 21.604 44.575 498.8 480.5\n", "\n", "[10858 rows x 6 columns]\n" ] }, { "data": { "text/plain": [ "test int64\n", "time datetime64[ns]\n", "temp C float64\n", "RH % float64\n", "CO2_1 float64\n", "CO2_2 float64\n", "dtype: object" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#change data time variable to actual values of time. \n", "env['time']= pd.to_datetime(env['time'])\n", "\n", "#print the new table and the type of data. \n", "print(env)\n", "\n", "env.dtypes" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "##Next\n", "##1. Create a function for expected pitch (frequency of sound waves) from CO2 data\n", "##2. Add expected_frequency to dataframe\n" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Calculated pitch from CO2 levels\n", "\n", "Here I use Cramer's equation for frequency of sound from CO2 concentration (1992). \n", "\n", "freq = a0 + a1(T) + ... + (a9 +...) +... + a14(xc^2)\n", "where xc is the mole fraction of CO2 and T is temperature. Full derivation of these equations can be found in the \"Doc\" directory.\n", "\n", "I will later plot measured pitch (frequency) data points from my \"pitch\" data frame on top of these calculated frequency values for comparison." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#Here I am trying to create a function for the above equation. \n", "#I want to plug in each CO2_ave value for a time stamp (row) from the \"env\" data frame above. \n", "\n", "#define coefficients (Cramer, 1992)\n", "a0 = 331.5024\n", "#a1 = 0.603055\n", "#a2 = -0.000528\n", "a9 = -(-85.20931) #need to account for negative values\n", "#a10 = -0.228525\n", "a14 = 29.179762\n", "\n", "#xc = CO2 values from dataframe\n" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "ename": "AssertionError", "evalue": "Equation failure", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mAssertionError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-7-f64a6c70166a>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 4\u001b[0m \u001b[0;32mreturn\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 5\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 6\u001b[0;31m \u001b[0mtest_cramer\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;32m<ipython-input-7-f64a6c70166a>\u001b[0m in \u001b[0;36mtest_cramer\u001b[0;34m()\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[0;31m#test function\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 2\u001b[0m \u001b[0;32mdef\u001b[0m \u001b[0mtest_cramer\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 3\u001b[0;31m \u001b[0;32massert\u001b[0m \u001b[0ma0\u001b[0m \u001b[0;34m+\u001b[0m \u001b[0;34m(\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0ma9\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m*\u001b[0m\u001b[0;36m400\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m/\u001b[0m\u001b[0;36m100\u001b[0m \u001b[0;34m+\u001b[0m \u001b[0ma14\u001b[0m\u001b[0;34m*\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;36m400\u001b[0m\u001b[0;34m/\u001b[0m\u001b[0;36m1000000\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m**\u001b[0m\u001b[0;36m2\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;34m==\u001b[0m \u001b[0;36m672.\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m'Equation failure'\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 4\u001b[0m \u001b[0;32mreturn\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 5\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;31mAssertionError\u001b[0m: Equation failure" ] } ], "source": [ "#test function\n", "def test_cramer():\n", " assert a0 + ((a9)*400)/100 + a14*((400/1000000)**2) == 672.33964466, 'Equation failure'\n", " return()\n", "\n", "test_cramer()" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#This function also converts ppm to mole fraction (just quantity as a proportion of total)\n", "def cramer(data):\n", " '''Calculate pitch from CO2_1 concentration'''\n", " \n", " calc_freq = a0 + ((a9)*data)/100 + a14*((data/1000000)**2)\n", " \n", " return(calc_freq)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#run the cramer values for the calculated frequency \n", "#calc_freq = cramer(env['calc_freq'])" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#define the new column as the output of the cramer function\n", "#env['calc_freq'] = calc_freq" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 716.989324\n", "1 715.370347\n", "2 715.370347\n", "3 713.836580\n", "4 708.127556\n", " ... \n", "10853 758.571469\n", "10854 761.212958\n", "10855 760.701702\n", "10856 760.190446\n", "10857 756.526446\n", "Name: CO2_1, dtype: float64" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#Run the function for the input column (CO2 values)\n", "env['calc_freq'] = cramer(env['CO2_1'])\n", "\n", "cramer(env['CO2_1'])" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>test</th>\n", " <th>time</th>\n", " <th>temp C</th>\n", " <th>RH %</th>\n", " <th>CO2_1</th>\n", " <th>CO2_2</th>\n", " <th>calc_freq</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>04/17/10 11:00:00 AM</td>\n", " <td>20.650</td>\n", " <td>35.046</td>\n", " <td>452.4</td>\n", " <td>689.9</td>\n", " <td>716.989324</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>04/17/10 11:02:00 AM</td>\n", " <td>20.579</td>\n", " <td>35.105</td>\n", " <td>450.5</td>\n", " <td>677.0</td>\n", " <td>715.370347</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>3</td>\n", " <td>04/17/10 11:04:00 AM</td>\n", " <td>20.507</td>\n", " <td>35.229</td>\n", " <td>450.5</td>\n", " <td>663.6</td>\n", " <td>715.370347</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>4</td>\n", " <td>04/17/10 11:06:00 AM</td>\n", " <td>20.460</td>\n", " <td>35.291</td>\n", " <td>448.7</td>\n", " <td>652.0</td>\n", " <td>713.836580</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>5</td>\n", " <td>04/17/10 11:08:00 AM</td>\n", " <td>20.412</td>\n", " <td>35.352</td>\n", " <td>442.0</td>\n", " <td>641.0</td>\n", " <td>708.127556</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>10853</th>\n", " <td>10854</td>\n", " <td>2005-02-10 12:46</td>\n", " <td>21.581</td>\n", " <td>44.604</td>\n", " <td>501.2</td>\n", " <td>483.5</td>\n", " <td>758.571469</td>\n", " </tr>\n", " <tr>\n", " <th>10854</th>\n", " <td>10855</td>\n", " <td>2005-02-10 12:48</td>\n", " <td>21.581</td>\n", " <td>44.604</td>\n", " <td>504.3</td>\n", " <td>482.9</td>\n", " <td>761.212958</td>\n", " </tr>\n", " <tr>\n", " <th>10855</th>\n", " <td>10856</td>\n", " <td>2005-02-10 12:50</td>\n", " <td>21.581</td>\n", " <td>44.604</td>\n", " <td>503.7</td>\n", " <td>482.3</td>\n", " <td>760.701702</td>\n", " </tr>\n", " <tr>\n", " <th>10856</th>\n", " <td>10857</td>\n", " <td>2005-02-10 12:52</td>\n", " <td>21.604</td>\n", " <td>44.575</td>\n", " <td>503.1</td>\n", " <td>481.7</td>\n", " <td>760.190446</td>\n", " </tr>\n", " <tr>\n", " <th>10857</th>\n", " <td>10858</td>\n", " <td>2005-02-10 12:54</td>\n", " <td>21.604</td>\n", " <td>44.575</td>\n", " <td>498.8</td>\n", " <td>480.5</td>\n", " <td>756.526446</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>10858 rows × 7 columns</p>\n", "</div>" ], "text/plain": [ " test time temp C RH % CO2_1 CO2_2 calc_freq\n", "0 1 04/17/10 11:00:00 AM 20.650 35.046 452.4 689.9 716.989324\n", "1 2 04/17/10 11:02:00 AM 20.579 35.105 450.5 677.0 715.370347\n", "2 3 04/17/10 11:04:00 AM 20.507 35.229 450.5 663.6 715.370347\n", "3 4 04/17/10 11:06:00 AM 20.460 35.291 448.7 652.0 713.836580\n", "4 5 04/17/10 11:08:00 AM 20.412 35.352 442.0 641.0 708.127556\n", "... ... ... ... ... ... ... ...\n", "10853 10854 2005-02-10 12:46 21.581 44.604 501.2 483.5 758.571469\n", "10854 10855 2005-02-10 12:48 21.581 44.604 504.3 482.9 761.212958\n", "10855 10856 2005-02-10 12:50 21.581 44.604 503.7 482.3 760.701702\n", "10856 10857 2005-02-10 12:52 21.604 44.575 503.1 481.7 760.190446\n", "10857 10858 2005-02-10 12:54 21.604 44.575 498.8 480.5 756.526446\n", "\n", "[10858 rows x 7 columns]" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#check the dataframe\n", "#calculated frequency values seem reasonable based on changes in CO2\n", "env" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>time</th>\n", " <th>div</th>\n", " <th>note</th>\n", " <th>freq1</th>\n", " <th>freq2</th>\n", " <th>freq3</th>\n", " <th>freq4</th>\n", " <th>freq5</th>\n", " <th>freq6</th>\n", " <th>freq7</th>\n", " <th>freq8</th>\n", " <th>freq9</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>2010-04-13 8:37</td>\n", " <td>pedal</td>\n", " <td>c3</td>\n", " <td>131.17</td>\n", " <td>131.20</td>\n", " <td>131.18</td>\n", " <td>131.11</td>\n", " <td>131.17</td>\n", " <td>131.14</td>\n", " <td>131.21</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2010-04-13 8:37</td>\n", " <td>pedal</td>\n", " <td>c4</td>\n", " <td>262.08</td>\n", " <td>262.12</td>\n", " <td>262.09</td>\n", " <td>262.05</td>\n", " <td>262.07</td>\n", " <td>262.10</td>\n", " <td>262.08</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2010-04-13 8:40</td>\n", " <td>swell</td>\n", " <td>c3</td>\n", " <td>131.42</td>\n", " <td>131.47</td>\n", " <td>131.45</td>\n", " <td>131.47</td>\n", " <td>131.50</td>\n", " <td>131.47</td>\n", " <td>131.45</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>2010-04-13 8:40</td>\n", " <td>swell</td>\n", " <td>c4</td>\n", " <td>262.90</td>\n", " <td>262.87</td>\n", " <td>262.84</td>\n", " <td>262.85</td>\n", " <td>262.90</td>\n", " <td>262.87</td>\n", " <td>262.88</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>2010-04-13 8:42</td>\n", " <td>great</td>\n", " <td>c4</td>\n", " <td>262.04</td>\n", " <td>262.05</td>\n", " <td>262.01</td>\n", " <td>262.03</td>\n", " <td>261.97</td>\n", " <td>261.98</td>\n", " <td>261.99</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>52</th>\n", " <td>2010-04-17 10:35</td>\n", " <td>pedal</td>\n", " <td>c4</td>\n", " <td>261.95</td>\n", " <td>261.95</td>\n", " <td>262.02</td>\n", " <td>262.00</td>\n", " <td>261.97</td>\n", " <td>262.01</td>\n", " <td>261.95</td>\n", " <td>261.97</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>53</th>\n", " <td>2010-04-17 10:37</td>\n", " <td>great</td>\n", " <td>c4</td>\n", " <td>261.69</td>\n", " <td>261.69</td>\n", " <td>261.68</td>\n", " <td>261.71</td>\n", " <td>261.74</td>\n", " <td>261.66</td>\n", " <td>261.68</td>\n", " <td>261.69</td>\n", " <td>261.67</td>\n", " </tr>\n", " <tr>\n", " <th>54</th>\n", " <td>2010-04-17 9:54</td>\n", " <td>choir</td>\n", " <td>c5</td>\n", " <td>NaN</td>\n", " <td>523.73</td>\n", " <td>523.61</td>\n", " <td>523.66</td>\n", " <td>523.77</td>\n", " <td>523.63</td>\n", " <td>523.65</td>\n", " <td>523.69</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>55</th>\n", " <td>2010-04-17 10:35</td>\n", " <td>pedal</td>\n", " <td>c4</td>\n", " <td>NaN</td>\n", " <td>261.95</td>\n", " <td>261.95</td>\n", " <td>262.02</td>\n", " <td>262.00</td>\n", " <td>261.97</td>\n", " <td>262.01</td>\n", " <td>261.95</td>\n", " <td>261.97</td>\n", " </tr>\n", " <tr>\n", " <th>56</th>\n", " <td>2010-04-17 10:37</td>\n", " <td>great</td>\n", " <td>c4</td>\n", " <td>NaN</td>\n", " <td>261.69</td>\n", " <td>261.69</td>\n", " <td>261.68</td>\n", " <td>261.71</td>\n", " <td>261.74</td>\n", " <td>261.66</td>\n", " <td>261.68</td>\n", " <td>261.69</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>57 rows × 12 columns</p>\n", "</div>" ], "text/plain": [ " time div note freq1 freq2 freq3 freq4 freq5 \\\n", "0 2010-04-13 8:37 pedal c3 131.17 131.20 131.18 131.11 131.17 \n", "1 2010-04-13 8:37 pedal c4 262.08 262.12 262.09 262.05 262.07 \n", "2 2010-04-13 8:40 swell c3 131.42 131.47 131.45 131.47 131.50 \n", "3 2010-04-13 8:40 swell c4 262.90 262.87 262.84 262.85 262.90 \n", "4 2010-04-13 8:42 great c4 262.04 262.05 262.01 262.03 261.97 \n", ".. ... ... ... ... ... ... ... ... \n", "52 2010-04-17 10:35 pedal c4 261.95 261.95 262.02 262.00 261.97 \n", "53 2010-04-17 10:37 great c4 261.69 261.69 261.68 261.71 261.74 \n", "54 2010-04-17 9:54 choir c5 NaN 523.73 523.61 523.66 523.77 \n", "55 2010-04-17 10:35 pedal c4 NaN 261.95 261.95 262.02 262.00 \n", "56 2010-04-17 10:37 great c4 NaN 261.69 261.69 261.68 261.71 \n", "\n", " freq6 freq7 freq8 freq9 \n", "0 131.14 131.21 NaN NaN \n", "1 262.10 262.08 NaN NaN \n", "2 131.47 131.45 NaN NaN \n", "3 262.87 262.88 NaN NaN \n", "4 261.98 261.99 NaN NaN \n", ".. ... ... ... ... \n", "52 262.01 261.95 261.97 NaN \n", "53 261.66 261.68 261.69 261.67 \n", "54 523.63 523.65 523.69 NaN \n", "55 261.97 262.01 261.95 261.97 \n", "56 261.74 261.66 261.68 261.69 \n", "\n", "[57 rows x 12 columns]" ] }, "execution_count": 27, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#Now I call in my measured pitch data, \n", "#to be able to visually compare calculated and measured\n", "\n", "#Import the measured pitch values--the output of pitch_data.py script\n", "measured_freq = pd.read_table('../Data/pitches.csv', sep=',')\n", "\n", "#change data time variable to actual values of time. \n", "env['time']= pd.to_datetime(env['time'])\n", "\n", "#I test to make sure I'm importing the correct data\n", "measured_freq" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Visualizing the expected pitch values by time\n", "\n", "### 1. Plot calculated frequency, CO2 (ppm), and measured frequency values" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " calc_freq\n", "0 716.989324\n", "1 715.370347\n", "2 715.370347\n", "3 713.836580\n", "4 708.127556\n", "... ...\n", "10853 758.571469\n", "10854 761.212958\n", "10855 760.701702\n", "10856 760.190446\n", "10857 756.526446\n", "\n", "[10858 rows x 1 columns]\n" ] } ], "source": [ "print(calc_freq)" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#define variables from dataframe columns\n", "CO2_1 = env[['CO2_1']]\n", "\n", "calc_freq=env[['calc_freq']]\n", "\n", "#measured_pitch = output_from_'pitch_data.py'\n" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "()" ] }, "execution_count": 31, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAbgAAAEaCAYAAABjHkJWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nOEQk/x8havujHYORLQAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x107e03ac8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#want to set x-axis as date_time\n", "#how do I format the ax2 y axis scale\n", "\n", "def make_plot(variable_1, variable_2):\n", " '''Make a three variable plot with two axes'''\n", "\n", "#plot title\n", " plt.title('CO2 and Calculated Pitch', fontsize='14')\n", "\n", "#twinx layering\n", " ax1=plt.subplot()\n", " ax2=ax1.twinx()\n", " #ax3=ax1.twinx()\n", "\n", "#call data for the plot\n", " ax1.plot(CO2_1, color='g', linewidth=1)\n", " ax2.plot(calc_freq, color= 'm', linewidth=1) \n", " #ax3.plot(measured_freq, color = 'b', marker= 'x')\n", "\n", "#axis labeling\n", " ax1.yaxis.set_tick_params(labelcolor='grey')\n", " ax1.set_xlabel('Sample Number')\n", " ax1.set_ylabel('CO2 (ppm)', fontsize=12, color = 'g')\n", " ax2.set_ylabel('Calculated Pitch (Hz)', fontsize=12, color='m') \n", " #ax3.set_ylabel('Measured Pitch')\n", "\n", "#axis limits\n", " ax1.set_ylim([400,1300])\n", " ax2.set_ylim([600, 1500])\n", "\n", " #plt.savefig('../Figures/fig1.pdf')\n", "\n", "#Close function\n", " return()#'../Figures/fig1.pdf')\n", "\n", "\n", "#Call my function to test it \n", "make_plot(CO2_1, calc_freq)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "measured_freq.head()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "env.head()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "Freq vs. CO2" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "plt.plot(env.CO2_1, measured_freq.time, color='g', linewidth=1)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#def make_fig(datasets, variable_1, variable_2, savename):\n", "\n", "#twinx layering\n", "ax1=plt.subplot()\n", "ax2=ax1.twinx()\n", "\n", "#plot 2 variables in predertermined plot above\n", "ax1.plot(dataset.index, variable_1, 'k-', linewidth=2)\n", "ax2.plot(dataset.index, variable_2, )\n", "\n", "#moving plots lines\n", "variable_2_spine=ax2.spines['right']\n", "variable_2_spine.set_position(('axes', 1.2))\n", "\n", "ax1.yaxi.set_tick_params(labelcolor='k')\n", "ax1.set_ylabel(variable_1.name, fontsize=13, colour = 'k')\n", "ax2.sey_ylabel(variable_2.name + '($^o$C)', fontsize=13, color='grey')\n", "\n", "#plt.savefig(savename)\n", "return(savename)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "fig = plt.figure(figsize=(11,14))\n", "plt.suptitle('')\n", "\n", "ax1.plot(colum1, colum2, 'k-', linewidth=2)\n", "\" \"\n", "\n", "ax1.set_ylim([0,1])\n", "ax2.set_ylim([0,1])\n", "\n", "ax1.set_xlabel('name', fontsize=14, y=0)\n", "ax1.set_ylabel\n", "ax2.set_ylabel" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#convert 'object' (CO2_1) to float \n", "new = pd.Series([env.CO2_1], name = 'CO2_1')\n", "\n", "CO2_1 = new.tolist()\n", "\n", "CO2_array = np.array(CO2_1)\n", "\n", "#Test type of data in \"CO2_1\" column\n", "env.CO2_1.dtypes\n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#How can I format it so it's not an object?\n", "\n", "cramer(CO2_array)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#'float' object not callable--the data in \"CO2_1\" are objects and cannot be called into the equation\n", "#cramer(env.CO2_ave) " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "env.dtypes" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "env.CO2_1.dtypes" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "new = pd.Series([env.CO2_1], name = 'CO2_1')\n", "\n", "CO2_1 = new.tolist()\n", "\n", "CO2_array = np.array(CO2_1)\n", "\n", "#Test type of data in \"CO2_1\" column\n", "env.CO2_1.dtypes" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "cramer(CO2_array)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "type(CO2_array)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# To choose which CO2 value to use, I first visualize which seems normal \n", "\n", "#Create CO2-only dataframs\n", "CO2 = env[['CO2_1', 'CO2_2']]\n", "\n", "#Make a plot\n", "CO2_fig = plt.plot(CO2)\n", "\n", "plt.ylabel('CO2 (ppm)')\n", "plt.xlabel('Sample number')\n", "plt.title('Two CO2 sensors, same time and place')\n", "\n", "#plt.savefig('CO2_fig.pdf')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "ename": "OSError", "evalue": "Expected file path name or file-like object, got <class 'pandas.core.frame.DataFrame'> type", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mOSError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-11-a4e72689e698>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 4\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 5\u001b[0m \u001b[0;31m#Upload environmental data file\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 6\u001b[0;31m \u001b[0menv\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mpd\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mread_table\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0minput_file\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0msep\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;34m','\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 7\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 8\u001b[0m \u001b[0;31m#assigning columns names\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/shubbymartz-oberlander/anaconda/lib/python3.4/site-packages/pandas/io/parsers.py\u001b[0m in \u001b[0;36mparser_f\u001b[0;34m(filepath_or_buffer, sep, dialect, compression, doublequote, escapechar, quotechar, quoting, skipinitialspace, lineterminator, header, index_col, names, prefix, skiprows, skipfooter, skip_footer, na_values, true_values, false_values, delimiter, converters, dtype, usecols, engine, delim_whitespace, as_recarray, na_filter, compact_ints, use_unsigned, low_memory, buffer_lines, warn_bad_lines, error_bad_lines, keep_default_na, thousands, comment, decimal, parse_dates, keep_date_col, dayfirst, date_parser, memory_map, float_precision, nrows, iterator, chunksize, verbose, encoding, squeeze, mangle_dupe_cols, tupleize_cols, infer_datetime_format, skip_blank_lines)\u001b[0m\n\u001b[1;32m 489\u001b[0m skip_blank_lines=skip_blank_lines)\n\u001b[1;32m 490\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 491\u001b[0;31m \u001b[0;32mreturn\u001b[0m \u001b[0m_read\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mfilepath_or_buffer\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mkwds\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 492\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 493\u001b[0m \u001b[0mparser_f\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0m__name__\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mname\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/shubbymartz-oberlander/anaconda/lib/python3.4/site-packages/pandas/io/parsers.py\u001b[0m in \u001b[0;36m_read\u001b[0;34m(filepath_or_buffer, kwds)\u001b[0m\n\u001b[1;32m 266\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 267\u001b[0m \u001b[0;31m# Create the parser.\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 268\u001b[0;31m \u001b[0mparser\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mTextFileReader\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mfilepath_or_buffer\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m**\u001b[0m\u001b[0mkwds\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 269\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 270\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0;34m(\u001b[0m\u001b[0mnrows\u001b[0m \u001b[0;32mis\u001b[0m \u001b[0;32mnot\u001b[0m \u001b[0;32mNone\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;32mand\u001b[0m \u001b[0;34m(\u001b[0m\u001b[0mchunksize\u001b[0m \u001b[0;32mis\u001b[0m \u001b[0;32mnot\u001b[0m \u001b[0;32mNone\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/shubbymartz-oberlander/anaconda/lib/python3.4/site-packages/pandas/io/parsers.py\u001b[0m in \u001b[0;36m__init__\u001b[0;34m(self, f, engine, **kwds)\u001b[0m\n\u001b[1;32m 581\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0moptions\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m'has_index_names'\u001b[0m\u001b[0;34m]\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mkwds\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m'has_index_names'\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 582\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 583\u001b[0;31m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0m_make_engine\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mengine\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 584\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 585\u001b[0m \u001b[0;32mdef\u001b[0m \u001b[0m_get_options_with_defaults\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mengine\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/shubbymartz-oberlander/anaconda/lib/python3.4/site-packages/pandas/io/parsers.py\u001b[0m in \u001b[0;36m_make_engine\u001b[0;34m(self, engine)\u001b[0m\n\u001b[1;32m 722\u001b[0m \u001b[0;32mdef\u001b[0m \u001b[0m_make_engine\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mengine\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;34m'c'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 723\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mengine\u001b[0m \u001b[0;34m==\u001b[0m \u001b[0;34m'c'\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 724\u001b[0;31m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0m_engine\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mCParserWrapper\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m**\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0moptions\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 725\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 726\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mengine\u001b[0m \u001b[0;34m==\u001b[0m \u001b[0;34m'python'\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/shubbymartz-oberlander/anaconda/lib/python3.4/site-packages/pandas/io/parsers.py\u001b[0m in \u001b[0;36m__init__\u001b[0;34m(self, src, **kwds)\u001b[0m\n\u001b[1;32m 1091\u001b[0m \u001b[0mkwds\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m'allow_leading_cols'\u001b[0m\u001b[0;34m]\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mindex_col\u001b[0m \u001b[0;32mis\u001b[0m \u001b[0;32mnot\u001b[0m \u001b[0;32mFalse\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1092\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m-> 1093\u001b[0;31m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0m_reader\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0m_parser\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mTextReader\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0msrc\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m**\u001b[0m\u001b[0mkwds\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 1094\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1095\u001b[0m \u001b[0;31m# XXX\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32mpandas/parser.pyx\u001b[0m in \u001b[0;36mpandas.parser.TextReader.__cinit__ (pandas/parser.c:3229)\u001b[0;34m()\u001b[0m\n", "\u001b[0;32mpandas/parser.pyx\u001b[0m in \u001b[0;36mpandas.parser.TextReader._setup_parser_source (pandas/parser.c:6219)\u001b[0;34m()\u001b[0m\n", "\u001b[0;31mOSError\u001b[0m: Expected file path name or file-like object, got <class 'pandas.core.frame.DataFrame'> type" ] } ], "source": [ "input_file = env\n", "\n", "\n", "\n", "#Upload environmental data file\n", "env = pd.read_table('', sep=',')\n", "\n", "\n", "\n", "#assigning columns names\n", "env.columns=[['test', 'date_time','temp C', 'RH %', 'CO2_1', 'CO2_2']]\n", "\n", "#change data time variable to actual values of time.\n", "env['date_time']= pd.to_datetime(env['date_time'])\n", "\n", "#test function\n", " #def test_cramer():\n", " #assert a0 + ((a9)*400)/100 + a14*((400/1000000)**2) == 672.339644669, 'Equation failure, math-mess-up'\n", " #return()\n", "\n", "#Call the test function\n", " #test_cramer()\n", "\n", "#pitch calculator function from Cramer equation\n", "def cramer(data):\n", " '''Calculate pitch from CO2_1 concentration'''\n", " calc_freq = a0 + ((a9*data)/100) + a14*((data)**2)\n", " return(calc_freq)\n", "\n", "#Run the function for the input column (CO2 values) to get a new column of calculated_frequency\n", "env['calc_freq'] = cramer(env['CO2_1'])\n", "\n", "#Import the measured pitch values--the output of pitch_data.py script\n", "measured_freq = pd.read_table('../organ_pitch/Data/munged_pitch.csv', sep=',')\n", "\n", "#change data time variable to actual values of time.\n", "env['time']= pd.to_datetime(env['time'])\n", "\n", "#Function to make and save a plot\n", "\n", "\n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
datahac/jup
.ipynb_checkpoints/helper_weather_dairy-checkpoint.ipynb
1
20297
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Weather API connect" ] }, { "cell_type": "code", "execution_count": 60, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAArMAAAIhCAYAAABdSTJTAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAAPYQAAD2EBqD+naQAAIABJREFUeJzt3Xt8z/X///H7q83YmLFNm+ggi1b6kPmSQ7H3cq6Eoun0\nMXLIKfXNCoWO0tGxUqIaKyUln0+Uw2RMzVdTcggdUMbMzM6Y9++PLtuvt/d7DNtee3K7/tXn+Xq9\n3u/H+/3p8/7cvLzer7e1evVqpwAAAAADXWL3AAAAAMC5ImYBAABgLGIWAAAAxiJmAQAAYCxiFgAA\nAMYiZgEAAGAsYhYAAADGImYBAABgLGIWAAAAxiJmAaCMTJgwQQ6HQ0ePHrV7lErhQng/8vLy5HA4\nNG7cOLtHAVACb7sHAHBhcDgcZ7V/bGysOnfuXE7T2MOyLFmWVa7P0aNHD2VnZ2vx4sWqWbNmuT7X\n+aqI9wMAiFkAZeLBBx90W/v000+Vm5urXr16qUaNGi7bwsLCKmq0CjNy5EgNGDBA/v7+5fYcxCEA\nuCJmAZQJTzG7bNky5ebm6q677lJISIgNU1WswMBABQYG2j0GAFxUiFkAtsvMzNSCBQuUlJSkAwcO\nqGrVqrr22mvVr18/NWvWzGXfxYsXa/r06Zo4caK8vb21YMEC7d69W76+vrr55ps1ZMgQ+fr6auvW\nrZo3b562bdsmp9OpiIgIjRgxQsHBwS6PN3jwYO3bt0+fffaZ5s6dq4SEBB0+fFghISHq1q2b+vTp\nIy8vr1K9jgkTJmjt2rX6/PPPiy8B+O233zRgwADdeeed6tWrl2bPnq3NmzeroKBADRs2VExMjCIi\nIsrmjfTg559/1oIFC/Tzzz8rNzdXderUUZs2bXTfffcpICDA4/yLFy/WN998o6VLl2r//v3y9/fX\nLbfcokGDBqlatWpuz5GUlKS4uDj9+uuv8vHx0Y033qjBgwefdq6vv/5aS5Ys0e+//67CwkLVq1dP\nnTp1Uu/evd3e7x49eig4OFjTp0/XnDlztHbtWh05ckShoaHq0aOHevfuXer3o+ix5syZ47btzTff\n1CeffKK33npLjRo1OuNjzZs3Tx988IGaN2+uZ599Vr6+vqWeA0DZIWYB2Grv3r169NFHdfjwYTVr\n1kytW7dWTk6O1q9fr8cee0zjxo1zux7XsiytXLlSGzZsUJs2bdSkSRNt3rxZX375pQ4dOqS7775b\nTzzxhCIiItS9e3ft3LlTa9euVVpammbNmuX2WE6nU+PHj9fevXt18803y7IsJSYm6p133tGuXbv0\n1FNPleq1nO4a0b179+rhhx9WgwYN1KVLF2VkZCghIUFPPvmkpk2bpmuvvfbc3sDTWL16tV544QV5\neXmpffv2qlOnjrZu3apFixZp/fr1mj59usuZ5KL533jjDf3www+66aab1KpVK23cuFGff/65Dhw4\noOeff97lOZYvX64pU6aoWrVqioqKUq1atZSSkqJhw4bpsssu8zjXtGnT9PnnnyswMFCdOnWSj4+P\nkpKS9NZbb+mHH37QCy+84PI+WpalgoICjR49Wnl5eWrbtq2cTqfWrl2rmTNn6uTJk7r77rtL9Z6c\n7jKN0l7jW1hYqFdffVXLli1Tx44dNWbMmFL/gQdA2SNmAdjqueee05EjR/Tcc8+pdevWxetZWVka\nPny4XnvtNbVq1UrVq1cv3uZ0OrVhwwZNmzZNjRs3Ll4bOXKkkpKStGXLFk2YMMHl8Z555hmtWbNG\nP/zwg2688UaXGfLz85WRkaF58+YVn3mMiYnRyJEjlZCQoMjISLVr1+68XuemTZs0ZMgQl+hq166d\nJk6cqIULF+rpp58+r8c/VVZWll555RVZlqXp06frmmuuKd723nvvKS4uTlOnTtWkSZNcjnM6ndq9\ne7fmzp2r2rVrS/o73oYNG6YNGzZoz549uuKKK4qfY+rUqfLx8dGbb75ZvC5Jr732mpYuXeoWh0Vh\nXL9+fc2aNav4WuqHHnpIsbGx+v777/X555+rZ8+eLsft379ft9xyi8aNGydv77//r+vee+/VAw88\noI8//rjUMXu+8vPzNXHiRCUnJys6OloPPfRQhTwvgJJxay4AttmyZYt27typjh07uoSnJPn7++v+\n++9XXl6e1q9f73Zst27dikNW+vus2q233ipJuv76690er1OnTnI6ndq1a5fbY1mWpf79+7v8FXq1\natXUv39/OZ1OffXVV+f1OiXpiiuucAuuW265RTVr1tT27dvP+/FPlZCQoLy8PHXt2tUlZCXpvvvu\nU2BgoNatW+d22yzLshQTE1McspLk5eVVfOeJbdu2uTxHfn6+unbt6hKykjRgwABVrVrVba6vvvpK\nlmXp3//+t8uXAr28vDR06FA5nU795z//8fiaRo4cWRyyklSnTh21bNlSGRkZOnDgwJnekvOWkZGh\nRx55RBs3btSoUaMIWaCS4MwsANts3bpVknTkyBG9//77btvT0tLkdDr1xx9/uKxbluXxmsagoCBJ\ncos3ScXXyqalpXmcpWnTpm5rRdfregrgs+VpJunvIEtNTT3vxz/Vzp07ZVmW2zXHkuTj46Prr79e\niYmJ2r17t9uZak/vbZ06deR0OpWVleX2HJ7eu4CAAF155ZXauXOn21yS3J5Tkho2bCh/f3/99ttv\nKiwsdPmr++DgYJfALnLppZdK+vsscXl+yTAtLU3Dhw/X4cOHNWnSJLVt27bcngvA2SFmAdgmMzNT\nkvTdd9/pu+++87iPZVnKy8tzW//nZQdFiuLndNtOnDjhcduptw6TJF9fX1WrVk05OTmneRWl4+nx\ni5775MmT5/34pyqauSjwT1W0np2d7bbN06xF798/Zy16Dk+RKcnjnR1ycnJkWVaJxwQFBSk7O1u5\nubkutzg73ft36lzl4cCBA8rOzla9evV03XXXletzATg7xCwA29SoUUOWZenxxx9Xly5dbJujsLBQ\n2dnZbsGUl5en/Px81alTx6bJzl1R0B8+fNjj9vT0dJf9zuc5MjIyPG739NzVq1fXkSNHdOTIEdWq\nVavEufz8/M55rtO55JJLVFhY6HGbp7Av0qRJE7Vp00avvfaaRo0apVdffdXIfy+ACxHXzAKwTXh4\nuJxOp3788Ue7R1FKSkqJayVdIlCZhYWFyel0enxdx48fL77E43x+vOKaa66R0+nU5s2b3bZlZma6\nXR5SdIzk+f3evXu3srKydPXVV5fb3QFq1KihQ4cOedy2Y8eO0x7bvXt3xcbG6q+//tIjjzxSLpeH\nADh7xCwA2zRr1kzXXHONVqxYoVWrVnncZ+fOnac9Y1YWnE6n5s2b53I5Q35+vt577z1ZlmXrWeNz\nFRkZKV9fXy1btky7d+922TZ//nylp6erXbt25/WTuO3bt5evr6+++uor/f777y7b3nnnHRUUFLgd\n07Vr1+L3+5//vRYWFuqtt96SZVnq1q3bOc9UZMKECXI4HPr2229d1q+99lrl5eW5/fu2ePFit/fJ\nk06dOmn8+PE6ePCgHnnkEf3111/nPSuA88NlBgBsNXHiRP3v//6vnnvuOS1cuFCNGzeWn5+f0tLS\ntGvXLu3du1dz5sxxuQTA6XSW6QzVqlVT7dq11b9/f918882SpMTERB08eFAdOnQ479tylYeiW2J5\nMmTIEAUEBOixxx7Tiy++qOHDh6t9+/YKDg7W1q1blZKSotDQUI0cOfK8ZqhZs6ZGjhypKVOm6OGH\nH1ZkZGTxfWb379+v6667zuXuB5LUokUL9ejRQ0uWLCl+v4vuM7tv3z79z//8j+68887zmkv6+98R\ny7LczvDeddddWr16tV588UWtX79ewcHB2rFjh3bt2qWWLVsqOTn5jI/doUMHValSRZMmTSq+5ODU\nuzkAqDiVMmbz8vL00Ucfafv27dq+fbuysrIUGxtbfGsYTwoLCzVgwADt2bNHQ4YMUZ8+fSpwYgDn\nqm7dupo9e7YWLVqkxMREffPNN3I6nQoKCtJVV12le+65R/Xq1XM55kw3vj/dNk/bLcvS888/r7lz\n52r16tXKyMjQpZdeqoEDB6pv377n/uLO8LylmbkkCQkJJW67//77FRAQIIfDoZCQEC1YsEAbNmxQ\nbm6ugoOD1bt3b4+/AHYmnubs3LmzAgICFBcXp1WrVsnHx0fNmzfX+PHj9fbbb3t8nFGjRik8PFxL\nlizR8uXLdfLkSdWrV0+DBw9W7969z+n9ONVvv/2mmjVrqkWLFi7rjRo10ssvv6w5c+Zo3bp18vHx\nUdOmTTVr1iz95z//8RiznuZp27atnnvuOU2YMEGjR4/WK6+8ogYNGpz33ADOnrV69eqyPcVRBlJT\nU9WvXz+FhISobt262rx5s8aMGXPamF24cKHmzZungoICDR48mJgFUCpDhgzR3r17S7y3KcyTnp6u\nu+++WzExMbrvvvvsHgdAOauU18wGBwdr0aJFio+P1+DBg8/4V4oZGRn68MMP1a9fvzL/60cAgFk2\nb94sPz+/MrlcAUDlVylj1tvbu8R7EHoye/ZsXXnllcW//gMAuHg5HA4tXbq0xHvTAriwVMqYPRvb\ntm3T119/rWHDhtk9CgBDlcU1mgAAe1TKL4CdjWnTpikqKkrh4eHc8w/AWXvrrbfsHgEAcB6Mjtmi\nexs+++yzZ3XckSNHtHHjRoWGhpZ4axsAAADY59ixY0pNTVWLFi08/mJgEWNjNjc3V++++67uuece\nBQcHn9WxGzdu1PPPP19OkwEAAKCsjBs37rTfizI2Zj/66COdOHFCkZGRxZcXpKWlSfr797VTU1MV\nHBwsb2/3lxgaGipJiouLU3h4eMUNDeOMHj1ar7/+ut1jAMAFh89XnMm2bdt03333FXdbSYyN2bS0\nNGVnZ+vf//63y7plWYqLi9P8+fM1e/ZsNWzY0O3YoksLwsPD1bx584oYF4YKCAjg3xEAKAd8vqK0\nznRJqLEx27t3b7efmDxy5IheffVVdenSRe3atVPdunVtmg4AAAAVodLG7OLFi5WTk1N86cD69euL\n/7lXr14KCwtTWFiYyzFFlxtcddVVatOmTcUODAAAgApXaWN24cKFOnjwoKS/Lx1ITExUYmKiJKlj\nx47y8/PzeBz3iwQAALh4VNqYjY+PP+tjQkNDtXLlynKYBher6Ohou0cAgAsSn68oK8b/AhhQnviw\nBYDywecrygoxCwAAAGMRswAAADAWMQsAAABjEbMAAAAwFjELAAAAYxGzAAAAMBYxCwAAAGMRswAA\nADAWMQsAAABjEbMAAAAwFjELAAAAYxGzAAAAMBYxCwAAAGMRswAAADAWMQsAAABjEbMAAAAwFjEL\nAAAAYxGzAAAAMBYxCwAAAGMRswAAADAWMQsAAABjEbMAAAAwFjELAAAAYxGzAAAAMBYxCwAAAGMR\nswAAADCWt90D2CktLU379++3ewwABvPz81NAQIDdYwDAReuijtkZMz5TUFCS3WMAMFhwcBU99dRw\nghYAbHJRx2zVqg4FBd1i9xgADJWbm6ZDhz5Tbm4uMQsANrmoY7ZatVry969r9xgADJaXZ/cEAHBx\n4wtgAAAAMBYxCwAAAGMRswAAADAWMQsAAABjEbMAAAAwFjELAAAAYxGzAAAAMBYxCwAAAGMRswAA\nADAWMQsAAABjEbMAAAAwFjELAAAAYxGzAAAAMBYxCwAAAGMRswAAADAWMQsAAABjEbMAAAAwFjEL\nAAAAYxGzAAAAMBYxCwAAAGMRswAAADAWMQsAAABjEbMAAAAwFjELAAAAY3nbPYAneXl5+uijj7R9\n+3Zt375dWVlZio2NVefOnYv3cTqdWr58udauXatdu3bp6NGjqlu3rhwOh/r06SMfHx8bXwEAAAAq\nQqU8M5uZmakPP/xQe/bsUcOGDWVZlts++fn5mjJlijIzM3XHHXdo+PDhCg8P19y5c/XEE0/YMDUA\nAAAqWqU8MxscHKxFixapdu3a2rFjh4YOHeq2T5UqVTRjxgxdd911xWvdu3dXSEiI3n//fW3atEnN\nmzevyLEBAABQwSrlmVlvb2/Vrl37jPv8M2SL3HzzzXI6nfrjjz/KazwAAABUEpUyZs9Henq6JCkg\nIMDmSQAAAFDeLriY/eijj1S9enW1atXK7lEAAABQzi6omI2Li9MPP/ygQYMGqXr16naPAwAAgHJW\nKb8Adi5WrVqluXPnqlu3brr99ttLdUxCwgQlJ890WWvSJFo33BBdHiMCAADAg/j4eMXHx7usZWZm\nlurYCyJmN27cqMmTJ6t169YaPXp0qY/r0GGSwsI6n3lHAAAAlJvo6GhFR7ueTNy0aZMiIiLOeKzx\nlxls3bpVTz/9tMLDw/X000/rkkuMf0kAAAAoJaPL748//tDYsWNVt25dPf/88/zqFwAAwEWm0l5m\nsHjxYuXk5CgtLU2StH79+uJ/7tWrlyzL0pgxY5Sdna177rlHSUlJLsfXq1fP431oAQAAcOGotDG7\ncOFCHTx4UJJkWZYSExOVmJgoSerYsaOcTqcOHTokSXrnnXfcju/UqRMxCwAAcIGrtDF76jfaPFm5\ncmUFTAIAAIDKyuhrZgEAAHBxI2YBAABgLGIWAAAAxiJmAQAAYCxiFgAAAMYiZgEAAGAsYhYAAADG\nImYBAABgLGIWAAAAxiJmAQAAYCxiFgAAAMYiZgEAAGAsYhYAAADGImYBAABgLGIWAAAAxiJmAQAA\nYCxiFgAAAMYiZgEAAGAsYhYAAADGImYBAABgLGIWAAAAxiJmAQAAYCxiFgAAAMYiZgEAAGAsYhYA\nAADGImYBAABgLGIWAAAAxiJmAQAAYCxiFgAAAMYiZgEAAGAsYhYAAADGImYBAABgLGIWAAAAxiJm\nAQAAYCxiFgAAAMYiZgEAAGAsYhYAAADGImYBAABgLGIWAAAAxiJmAQAAYCxiFgAAAMYiZgEAAGAs\nYhYAAADGImYBAABgLGIWAAAAxiJmAQAAYCxiFgAAAMYiZgEAAGAsYhYAAADGImYBAABgLGIWAAAA\nxiJmAQAAYCxiFgAAAMYiZgEAAGAsb7sH8CQvL08fffSRtm/fru3btysrK0uxsbHq3Lmz27579uzR\njBkztGXLFlWpUkWtWrXSsGHDFBAQYMPkAAAAqEiV8sxsZmamPvzwQ+3Zs0cNGzaUZVke90tLS9PI\nkSO1f/9+PfTQQ+rbt6++++47Pf744yosLKzgqQEAAFDRKuWZ2eDgYC1atEi1a9fWjh07NHToUI/7\nxcXFqaCgQO+8847q1KkjSWrcuLEef/xxLVu2TN27d6/IsQEAAFDBKuWZWW9vb9WuXfuM+61du1at\nW7cuDllJioiIUP369ZWQkFCOEwIAAKAyqJQxWxqHDh3SkSNH1LhxY7dt1157rXbu3GnDVAAAAKhI\nxsZsenq6JCkwMNBtW1BQkLKysnTixImKHgsAAAAVyNiYPXbsmCTJx8fHbVvRWkFBQYXOBAAAgIpl\nbMwWBWtR1P5T0VrVqlUrdCYAAABUrEp5N4PSCAoKkiQdPnzYbVt6err8/f3l7X36l5eQMEHJyTNd\n1po0idYNN0SX3aAAAAA4rfj4eMXHx7usZWZmlupYY2M2ODhYtWrV0o4dO9y2bd++XWFhYWd8jA4d\nJikszP2HGAAAAFBxoqOjFR3tejJx06ZNioiIOOOxxl5mIEk333yzkpKSlJaWVrz2f//3f9q3b586\ndOhg32AAAACoEJX2zOzixYuVk5NTHKrr168v/udevXrJz89P9913n7799luNHj1avXv3Vm5urhYu\nXKiGDRuqS5cudo4PAACAClBpY3bhwoU6ePCgJMmyLCUmJioxMVGS1LFjR/n5+alOnTp64403NGvW\nLL3zzjuqUqWKbrrpJg0dOvSM18sCAADAfJW2+E69CLgkV155pV566aVyngYAAACVkdHXzAIAAODi\nRswCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAAjEXMAgAA\nwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIAAMBYxCwA\nAACMRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAAjEXM\nAgAAwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIAAMBY\nxCwAAACMRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAA\njEXMAgAAwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIA\nAMBYxCwAAACM5W33AOfrzz//1Jw5c7RlyxZlZWXp0ksvVVRUlPr27auqVavaPR4AAADKkdExm5aW\npiFDhsjf3189e/ZUzZo19fPPP2vevHnauXOnnn32WbtHBAAAQDkyOmaXL1+u3NxczZw5U1dccYUk\nqXv37jp58qS++eYbZWdnq0aNGjZPCQAAgPJi9DWzeXl5kqRatWq5rAcGBsqyLFWpUsWOsQAAAFBB\njI7Zpk2byul0asqUKdq1a5fS0tK0atUqLVmyRL179+aaWQAAgAuc0ZcZtGzZUjExMZo/f77Wr18v\nSbIsS/fee69iYmJsng4AAADlzeiYlaSQkBA1bdpUt9xyi2rWrKkNGzZo/vz5CgwM1J133mn3eAAA\nAChHRsfsqlWr9NprrykuLk5BQUGSpHbt2qmwsFCzZ89WVFSU/P39Szw+IWGCkpNnuqw1aRKtG26I\nLte5AQAA8P/Fx8crPj7eZS0zM7NUxxods1988YWuueaa4pAt0rZtW3399dfauXOnmjdvXuLxHTpM\nUlhY5/IeEwAAAKcRHR2t6GjXk4mbNm1SRETEGY81+gtgGRkZOnnypNv6iRMnJEmFhYUVPRIAAAAq\nkNExe/nll2vnzp36888/XdZXrlwpy7LUsGFDmyYDAABARTD6MoO+ffvq+++/14gRI4p/ASwpKUnJ\nycnq3r27AgMD7R4RAAAA5cjomP3Xv/6lGTNmaN68eVqyZIkyMzNVt25dDRw4UH379rV7PAAAAJQz\no2NWkho3bqwXX3zR7jEAAABgA6OvmQUAAMDFjZgFAACAsYhZAAAAGIuYBQAAgLGIWQAAABiLmAUA\nAICxiFkAAAAYi5gFAACAsYhZAAAAGIuYBQAAgLGIWQAAABiLmAUAAICxiFkAAAAYi5gFAACAsYhZ\nAAAAGIuYBQAAgLGIWQAAABiLmAUAAICxiFkAAAAYi5gFAACAsYhZAAAAGIuYBQAAgLGIWQAAABiL\nmAUAAICxiFkAAAAY65xj9sCBAyooKChxe0FBgQ4cOHCuDw8AAACc0TnHbL9+/bR27doSt69bt079\n+vU714cHAAAAzuicY9bpdJ52e2FhoSzLOteHBwAAAM7I+2x2zsnJUXZ2dvF/Pnr0qMdLCbKzs7Vq\n1SoFBQWd/4QAAABACc4qZj/99FN98MEHkiTLsjRz5kzNnDnT475Op1MxMTHnPyEAAABQgrOK2RYt\nWsjX11dOp1Nvv/22HA6HGjVq5LZftWrV1LhxYzVu3LjMBgUAAABOdVYxe/311+v666+XJOXn5+uW\nW25RgwYNymUwAAAA4EzOKmb/6cEHHyzLOQAAAICzxo8mAAAAwFjELAAAAIxFzAIAAMBYxCwAAACM\nRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAAjEXMAgAA\nwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIAAMBY3nYP\nUBZ++eUXvf/++9qyZYuOHTumunXr6vbbb1fPnj3tHg0AAADlyPiYTU5O1rhx49SoUSPdf//98vX1\n1V9//aW0tDS7RwMAAEA5Mzpmc3NzNXnyZLVp00YTJ060exwAAABUMKOvmV2xYoWOHDmiAQMGSJLy\n8/PldDptngoAAAAVxegzs5s2bZKfn58OHjyocePGad++fapWrZo6duyoYcOGycfHx+4RAQAAUI6M\njtl9+/bpxIkTGj9+vG677TYNGjRIKSkp+uyzz5STk6Px48fbPSIAAADKkdExm5eXp2PHjumOO+7Q\nsGHDJEnt2rXT8ePHtXTpUvXv31/16tWzeUoAAACUF6Ovma1ataokyeFwuKxHRUXJ6XRq69atdowF\nAACACmL0mdmgoCD98ccfql27tst6rVq1JElZWVmnPT4hYYKSk2e6rDVpEq0bbogu20EBAABQovj4\neMXHx7usZWZmlupYo2O2UaNG2rRpkw4dOqT69esXr6enp0v6/1Fbkg4dJiksrHO5zggAAIDTi46O\nVnS068nETZs2KSIi4ozHGn2ZQWRkpJxOp/773/+6rC9dulTe3t5q1qyZTZMBAACgIhh9ZjYsLExd\nu3bVsmXLdOLECTVt2lQ//PCD1q5dq3vvvVeBgYF2jwgAAIByZHTMStKjjz6qkJAQLVu2TImJiQoN\nDdWwYcPUq1cvu0cDAABAOTM+Zr28vPTAAw/ogQcesHsUAAAAVDCjr5kFAADAxY2YBQAAgLGIWQAA\nABiLmAUAAICxiFkAAAAYi5gFAACAsYhZAAAAGIuYBQAAgLGIWQAAABiLmAUAAICxiFkAAAAYi5gF\nAACAsYhZAAAAGIuYBQAAgLGIWQAAABiLmAUAAICxiFkAAAAYi5gFAACAsYhZAAAAGIuYBQAAgLGI\nWQAAABiLmAUAAICxiFkAAAAYi5gFAACAsYhZAAAAGIuYBQAAgLGIWQAAABiLmAUAAICxiFkAAAAY\ni5gFAACAsYhZAAAAGIuYBQAAgLGIWQAAABiLmAUAAICxiFkAAAAYi5gFAACAsYhZAAAAGIuYBQAA\ngLGIWQAAABiLmAUAAICxiFkAAAAYi5gFAACAsYhZAAAAGIuYBQAAgLGIWQAAABiLmAUAAICxiFkA\nAAAYi5gTTf4kAAASTklEQVQFAACAsYhZAAAAGIuYBQAAgLGIWQAAABiLmAUAAICxiFkAAAAYi5gF\nAACAsYhZAAAAGOuCitm4uDg5HA4NGDDA7lEAAABQAS6YmE1LS9P8+fPl6+tr9ygAAACoIN52D1BW\n3nzzTV1//fUqLCzU0aNH7R4HAAAAFeCCODO7efNmrV27VsOGDbN7FAAAAFQg42P25MmTmj59urp3\n764GDRrYPQ4AAAAqkPEx+8UXX+jgwYOKiYmxexQAAABUMKNj9ujRo5o3b54eeOAB1axZ0+5xAAAA\nUMGM/gLYnDlzFBAQoJ49e57T8QkJE5ScPNNlrUmTaN1wQ3RZjAcAAIBSiI+PV3x8vMtaZmZmqY41\nNmb//PNPLV26VMOHD1daWlrx+rFjx3TixAmlpqaqevXq8vf3L/ExOnSYpLCwzhUxLgAAAEoQHR2t\n6GjXk4mbNm1SRETEGY81NmaLAnbGjBmaPn262/Z7771XvXr14g4HAAAAFzBjY7ZBgwZ65pln3Nbn\nzJmjvLw8jRgxQnXr1rVhMgAAAFQUY2M2ICBAbdu2dVv/9NNPZVmW2rRpY8NUAAAAqEhG380AAAAA\nFzdjz8yW5PXXX7d7BAAAAFQQzswCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIAAMBYxCwAAACM\nRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAAjEXMAgAA\nwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIAAMBYxCwA\nAACMRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAAjEXM\nAgAAwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIAAMBY\nxCwAAACMRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAA\njEXMAgAAwFjELAAAAIxFzAIAAMBYxCwAAACM5W33AOdjx44dWrZsmVJSUpSamqqAgACFh4drwIAB\nql+/vt3jAQAAoJwZfWY2Pj5eiYmJioiI0IgRI3Tbbbfpxx9/1KBBg/T777/bPR4AAADKmdFnZvv0\n6aPGjRvLy8ureC0yMlIxMTFasGCBxo4da+N0AAAAKG9Gx+x1113ntlavXj1dddVV2rNnjw0TAQAA\noCIZfZlBSTIyMhQQEGD3GAAAAChnF1zMfvPNNzp06JAiIyPtHgUAAADl7IKK2T179mjq1Klq0qSJ\nOnfubPc4AAAAKGcXTMwePnxYTz75pPz9/TVx4kRZlmX3SAAAAChnRn8BrEhOTo5iY2OVk5OjadOm\nKTAwsFTHJSRMUHLyTJe1Jk2idcMN0eUxJgAAADyIj49XfHy8y1pmZmapjjU+Zo8dO6axY8fqzz//\n1Kuvvqorrrii1Md26DBJYWFcjgAAAGCn6OhoRUe7nkzctGmTIiIiznis0TF78uRJTZo0Sdu2bdNz\nzz2n8PBwu0cCAABABTI6ZmfNmqWkpCS1adNGmZmZ+uabb1y2d+zY0abJAAAAUBGMjtndu3fLsiwl\nJSUpKSnJbTsxCwAAcGEzOmZff/11u0cAAACAjS6YW3MBAADg4kPMAgAAwFjELAAAAIxFzAIAAMBY\nxCwAAACMRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAA\njEXMAgAAwFjELAAAAIxFzAIAAMBYxCwAAACMRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIxFzAIA\nAMBYxCwAAACMRcwCAADAWMQsAAAAjEXMAgAAwFjELAAAAIzlbfcAAIALS2ZmpnJzc+0eA4Dh0tLS\nSrUfMQsAKDOZmZl69tkZOnTouN2jADBcevr+Uu1HzAIAykxubq4OHTouX99e8vOrY/c4AAyWnf2t\npNln3I+YBQCUOT+/OvL3r2v3GAAMVq1arVLtxxfAAAAAYCxiFgAAAMYiZgEAAGAsYhYAAADGImYB\nAABgLGIWAAAAxiJmAQAAYCxiFgAAAMYiZgEAAGAsYhYAAADGImYBAABgLGIWAAAAxiJmAQAAYCxi\nFgAAAMYiZgEAAGAsYhYAAADGImYBAABgLGIWAAAAxiJmAQAAYCxiFgAAAMYiZgEAAGAsYhYAAADG\nImYBAABgLGIWAAAAxvK2e4Dzdfz4cb333ntasWKFsrKydPXVV2vAgAGKiIiwezQAAACUM+PPzE6e\nPFmLFi1Sx44dNWLECHl5eemJJ57Qli1b7B4NF4Cffoq3ewQAuCDx+YqyYnTMbtu2TatXr9ZDDz2k\nQYMGqXv37nr11VcVEhKit99+2+7xcAHYsoUPWwAoD3y+oqwYHbNr1qyRl5eXbrvttuI1Hx8fdevW\nTVu3blVaWpqN0wEAAKC8GR2zu3fvVv369eXr6+uyfu2110qSdu3aZcdYAAAAqCBGx2x6erqCgoLc\n1oOCguR0OpWenm7DVAAAAKgoRt/NoKCgQFWqVHFb9/HxKd7uybFjxyRJ+/f/UH7D4YKQk3NQu3Yt\nt3sMVFL5+UdUULBfP/74o/bv32/3OJVCWlqa0tP3Kzv7W1WrVsvucVCJ8fmKMynqtKJuK4nRMVu1\nalUdP37cbb3oRVetWtXjcampqZKkVaueLL/hcMGYP7+L3SOgklu6dLbdI1RCvCc4Mz5fURqpqalq\n0qRJiduNjtmgoCAdOnTIbb3o8gJPlyBIUosWLTRu3DiFhoYWn8UFAABA5XHs2DGlpqaqRYsWp93P\n6Jht2LChUlJSlJeX5/IlsK1bt8qyLIWFhXk8rlatWrr11lsrakwAAACcg9OdkS1i9BfA2rdvr8LC\nQn355ZfFa8ePH9fy5csVHh6uOnXq2DgdAAAAypvRZ2bDw8PVvn17vfvuu8rIyFC9evW0bNkyHThw\nQGPGjLF7PAAAAJQza/Xq1U67hzgfx48f13vvvacVK1YoKytLV199tQYMGKCIiAi7RwMAAEA5Mz5m\nAQAAcPEy+ppZoCxMnjxZ0dHRdo9xWpMnT1a3bt3sHgMAgEqHmIUtli1bJofDoV9++cXuUWRZlizL\nsnuM0zJhRgAXl6LPcYfDoS1btnjcp0+fPnI4HBo3blwFT4eLCTEL2xBnAGC+qlWrauXKlW7rKSkp\nOnToEPdzR7kjZgEAwDlr1aqV1qxZo5MnT7qsr1y5Uo0bN1ZgYKBNk+FiQcyi0jpy5IimTJmiXr16\nqXPnzho4cKCWL3f9He/U1FQ5HA4tXLhQS5cu1b333qtOnTpp6NCh2rFjh9tjJiYmqn///urcubMG\nDBigxMREj8+dn5+vWbNmqW/fvurUqZMeeOABLVy40G0/h8OhadOmKTExUTExMerUqZP69++v77//\n/oyvLyUlRQ6HQ5s3b/b4mk59rafatWuXevbsqUcffVT5+flnfD4AKGuWZcnhcCgzM1MbN24sXj9x\n4oS+/fZbRUVFyel0/Z75xx9/rOHDh6tHjx7q0qWLBg8erDVr1rjsU3QJw7Jly1zW4+Li5HA4SvUZ\ni4sHMYtK6dixY3rkkUe0YsUKderUSUOGDFGNGjX00ksv6bPPPnPbf8WKFVq4cKHuuOMODRw4UKmp\nqZowYYIKCwuL90lOTtaECRPk5eWlhx56SG3bttVLL73kMXrHjh2rRYsWqVWrVho2bJiuuOIKvfXW\nW5o1a5bbvj/99JOmTp0qh8OhIUOG6Pjx45o4caKysrLO+DrP9VKL7du367HHHlOjRo00efJkVatW\n7ZweBwDOV2hoqK677jqtWrWqeG3Dhg3KycmRw+Fw2/+zzz5To0aNFBMTo4EDB8rb21vPPPOMvvvu\nu+J9unTpotatW2vWrFlKS0uTJP3666/64IMP1L17d7Vs2bL8XxiMYfSPJuDCtWTJEu3du1fjxo0r\n/jC84447NGrUKL333nvq2rWry08Yp6WlKS4uTtWrV5ck1a9fX0899ZSSk5N10003SZJmz56twMBA\nTZ8+vfjYpk2b6vHHH1doaGjxYyUmJiolJUUDBw5Uv379JEk9evTQxIkTtWjRIvXs2VN169Yt3n/P\nnj16//33ix+jWbNmGjhwoFauXKk777yzzN+bn376SU8++aSaNm2qSZMmydub/xkDsFdUVJTeffdd\nHTt2TD4+Plq5cqWaNm3q8RKDDz/80OU62p49e2rQoEH65JNP1KpVq+L1xx57TP3799fLL7+sF154\nQZMnT1ZwcLAefvjhCnlNMAdnZlEpff/99woMDHT5U72Xl5d69eqlvLw8t7+aj4yMLA5ZSfrXv/4l\np9Op/fv3S5IOHz6s3bt3q0uXLi4RHBERoSuvvNLtub28vNSzZ0+X9T59+sjpdLqcPZCkFi1auMTw\n1VdfLT8/v+LnLkspKSmKjY1VixYt9MwzzxCyACqFyMhIFRQUKCkpSXl5edqwYYNuvfVWj/v+M2Sz\ns7OVnZ2tG264QTt37nTZLzAwUKNGjdLGjRs1cuRI7d69W2PGjHH5DAckzsyikjpw4IDq1avntn7l\nlVfK6XTqwIEDLuuXXnqpy3+uUaOGJBX/VX9qaqokeXzMyy+/XLt27XJ57qCgILcPzKLoPfW569Sp\n4/aY/v7+pbrM4GwUFBToySefVKNGjfT000/rkkv4syiAyiEgIEARERFauXKl8vPzdfLkSbVv397j\nvklJSYqLi9OuXbt0/Pjx4nVPl105HA6tWLFCGzZs0O23365mzZqV22uAuYhZXBBKCrtTv3hQmZ67\npOtlT/1GcBEfHx+1atVK69at03fffafWrVuf3aAAUI6ioqL0yiuv6PDhw2rVqpX8/Pzc9vnxxx81\nfvx4NW3aVKNHj1ZgYKC8vb311VdfuVxzW+To0aPasWOHLMvS77//XgGvAibi1A4qpZCQEP35559u\n63/88Ufx9rNRdBnAvn373Lbt3bvX7bnT09OVl5dXJs9dEn9/fzmdTmVnZ7usF51FPpVlWRo/frya\nN2+uSZMmuV1qAQB2ateunS655BJt27ZNUVFRHvf59ttv5ePjo5dfflldunRRy5Yt1bx58xL/8P/G\nG28oLy9PAwcO1E8//aRPP/20PF8CDEXMolJq1aqVDh8+7PIn9cLCQi1evFh+fn5q2rTpWT1eYGCg\nwsLCtHz5cuXm5havb9y4sThS//nchYWF+vzzz13WP/30U1mW5fIFhfMREhKiSy65RD/++KPL+hdf\nfFHiWVsvLy8988wzaty4scaOHevxTgwAYAdfX1+NHj1aDz74YIl/c+Tl5SXLslzuNJOamqp169a5\n7btmzRolJCRo0KBBio6OVmRkpObMmePxRAcublxmANs4nU7997//9Xi/wN69e+vLL7/USy+9pF9+\n+UUhISFas2aNtm7dquHDh5/TFwAGDhyosWPHasSIEeratauOHj2qxYsXq0GDBi5nYdu0aaNmzZrp\n3Xff1f79+9WwYUMlJycrKSlJd911l8udDM6Gw+FQs2bN9Nprr0mSqlevrvbt2xffauyyyy5TUlKS\nMjMzT/s4Pj4+evHFF/Xoo49qzJgxeuONN9SgQYNzmgkAzsepZ1Q7dep02v1vuukmffLJJ3r88ccV\nFRWljIwMffHFF6pfv75+/fXX4v0yMjL0+uuvq3nz5sV3hRk1apRSUlL04osvasaMGWX/YmAsYha2\nsSxLX375pcdtXbp00dSpUzV79mx9/fXXysnJ0eWXX67Y2Fi3D0vLsjyeyTx1vWXLlpo4caLmzJmj\nd999V5dddpliY2O1bt06l7OjlmXphRde0Ny5c7V69WotW7ZMoaGhGjJkiO6+++5zeu6iWA4KCnLZ\nb+TIkSosLNSXX36pKlWqKDIyUkOHDlVMTIzHxyzi5+enKVOm6JFHHtGYMWM0depUXXbZZR7fSwAo\nL6W5V/Y/97nxxhs1ZswYLViwQLNmzVJoaKgGDx6s1NRUl5h94403VFhYqNjY2OK1mjVr6rHHHtNT\nTz2ljz/+WH379i3bFwNjWatXry7/b8gAF7kNGzZo3LhxmjNnjq666iq7xwEA4ILBNbNABUhJSVFU\nVBQhCwBAGePMLAAAAIzFmVkAAAAYi5gFAACAsYhZAAAAGIuYBQAAgLGIWQAAABiLmAUAAICxiFkA\nAAAYi5gFAACAsYhZAAAAGIuYBQAAgLH+HyHU6qfp1icOAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1170eddd8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import urllib.request\n", "import pandas as pd\n", "import numpy as np\n", "import requests\n", "from urllib.request import Request, urlopen\n", "from pandas.io.json import json_normalize\n", "\n", "\n", "loc = \"London,uk\"\n", "weatherurl ='http://api.openweathermap.org/data/2.5/weather?q=' + loc + '?id=524901&APPID=b058d678cdbcdfdadaa17156d4a9a5fd'\n", "data= requests.get(weatherurl)\n", "#data.text\n", "dataj=data.json()\n", "temp=dataj['main']['temp_max']-273.15\n", "\n", "objects = (loc, 'Max')\n", "y_pos = np.arange(len(objects))\n", "performance = [temp, 1]\n", " \n", "plt.bar(y_pos, performance, align='center', alpha=0.5)\n", "plt.xticks(y_pos, objects)\n", "plt.ylabel('t')\n", "plt.title('Temp in '+loc)\n", " \n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# For the future more sophisiticated transformations - to DataFrame\n", "#import json\n", "# Finish request http://pandas.pydata.org/pandas-docs/stable/generated/pandas.read_json.html\n", "\n", "#pd.read_json(data.json(),orient='records', typ=\"series\" )" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Write diary to spreadsheet\n", "via google API\n", "\n", "1. Define date (default=today)\n", "2. Define topic oAuth\n", "2. Append via API https://developers.google.com/sheets/api/reference/rest/v4/spreadsheets.values/append\n" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [conda root]", "language": "python", "name": "conda-root-py" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 1 }
apache-2.0
eds-uga/csci1360e-su16
lectures/L9.ipynb
1
25388
{ "cells": [ { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "# Lecture 9: Introduction to Functions\n", "\n", "CSCI 1360E: Foundations for Informatics and Analytics" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Overview and Objectives\n", "\n", "In this lecture, we'll introduce the concept of *functions*, critical abstractions in nearly every modern programming language. Functions are important for abstracting and categorizing large codebases into smaller, logical, and human-digestable components. By the end of this lecture, you should be able to:\n", "\n", " - Define a function that performs a specific task\n", " - Set function arguments and return values" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Part 1: Defining Functions\n", "\n", "A *function* in Python is not very different from a function as you've probably learned since algebra." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "\"Let $f$ be a function of $x$\"...sound familiar? We're basically doing the same thing here." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "A function ($f$) will [usually] take something as input ($x$), perform some kind of operation on it, and then [usually] return a result ($y$). Which is why we usually see $f(x) = y$. A function, then, is composed of three main components:" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ " 1. **The function itself**. A [good] function will have one very specific task it performs. This task is usually reflected in its name. Take the examples of `print`, or `sqrt`, or `exp`, or `log`; all these names are very clear about what the function does.\n", " 2. **Arguments (if any)**. Arguments (or parameters) are the *input* to the function. It's possible a function may not take any arguments at all, but often at least one is required. For example, `print` has 1 argument: a string.\n", " 3. **Return values (if any)**. Return values are the *output* of the function. It's possible a function may not return anything; technically, `print` does not return anything. But common math functions like `sqrt` or `log` have clear return values: the output of that math operation." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "### Philosophy\n", "\n", "A core tenet in writing functions is that **functions should do one thing, and do it well** (with [apologies to the Unix Philosophy](https://en.wikipedia.org/wiki/Unix_philosophy#Do_One_Thing_and_Do_It_Well))." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "Writing good functions makes code *much* easier to troubleshoot and debug, as the code is already logically separated into components that perform very specific tasks. Thus, if your application is breaking, you usually have a good idea where to start looking." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "It's very easy to get caught up writing \"god functions\": one or two massive functions that essentially do everything you need your program to do. But if something breaks, this design is very difficult to debug." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "### Functions vs Methods\n", "\n", "You've probably heard the term \"method\" before, in this class. Quite often, these two terms are used interchangeably, and for our purposes they are pretty much the same." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "**BUT**. These terms ultimately identify different constructs, so it's important to keep that in mind. Specifically:" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ " - *Methods* are functions inside classes. We won't be going into classes in this course, hence the reason why the distinction isn't useful for our purposes. We may make use of some classes in this course, but we won't build any. [Object-oriented programming (OOP)](https://en.wikipedia.org/wiki/Object-oriented_programming) is whole 'nother can of worms we won't touch here." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ " - *Functions* are not inside classes. In some sense, they're \"free\" (though they may be found inside specific modules; however, since a module != a class, they're still called functions)." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "Otherwise, functions and methods work identically." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "Ok, how do we write functions? At this point in the course, you've probably already seen how this works, but we'll go through it step by step regardless." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "First, we define the function *header*. This is the portion of the function that defines the name of the function, the arguments, and uses the Python keyword `def` to make everything official:" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true, "slideshow": { "slide_type": "fragment" } }, "outputs": [], "source": [ "def our_function():\n", " pass" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "That's everything we need for a working function! Let's walk through it:" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ " - **`def`** keyword: required before writing any function, to tell Python \"hey! this is a function!\"\n", " - **Function name**: one word (can \"fake\" spaces with underscores), which is the name of the function and how we'll refer to it later\n", " - **Arguments**: a comma-separated list of arguments the function takes to perform its task. If no arguments are needed (as above), then just open-paren-close-paren.\n", " - **Colon**: the colon indicates the end of the function header and the start of the actual function's code.\n", " - **`pass`**: since Python is sensitive to whitespace, we can't leave a function body blank; luckily, there's the `pass` keyword that does pretty much what it sounds like--no operation at all, just a placeholder." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "Admittedly, our function doesn't really do anything interesting. It takes no parameters, and the function body consists exclusively of a placeholder keyword that also does nothing. Still, it's a perfectly valid function!" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "### Other notes on functions" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ " - You can define functions (as we did just before) almost anywhere in your code. As we'll see when we get to functional programming, you can literally define functions in the middle of a line of code. Still, good coding practices behooves you to generally group your function definitions together, e.g. at the top of your module." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ " - Invoking or activating a function is referred to as *calling* the function. When you call a function, you type its name, an open parenthesis, any arguments you're sending to the function, and a closing parenthesis. If there are no arguments, then calling the function is as simple as typing the function name and an open-close pair of parentheses." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ " - Functions can be part of modules. You've already seen some of these in action: the `numpy.array()` functionality is indeed a function. When a function is in a module, to call it you need to prepend the name of the module (and any submodules), add a dot \"`.`\" between the module names, and then call the function as you normally would." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ " - Though not recommended, it's possible to import *only* select functions from a module, so you no longer have to specify the module name in front of the function name when calling the function. This uses the `from` keyword during import:" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true, "slideshow": { "slide_type": "fragment" } }, "outputs": [], "source": [ "from numpy import array" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "Now the `array()` method can be called directly without prepending the package name `numpy` in front. **USE THIS CAUTIOUSLY**: if you accidentally name a variable `array` later in your code, you will get some very strange errors!" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Part 2: Function Arguments\n", "\n", "Arguments (or parameters), as stated before, are the function's input; the \"$x$\" to our \"$f$\", as it were." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "You can specify as many arguments as want, separating them by commas:" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true, "slideshow": { "slide_type": "fragment" } }, "outputs": [], "source": [ "def one_arg(arg1):\n", " pass\n", "\n", "def two_args(arg1, arg2):\n", " pass\n", "\n", "def three_args(arg1, arg2, arg3):\n", " pass\n", "\n", "# And so on..." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "Like functions, you can name the arguments anything you want, though also like functions you'll probably want to give them more meaningful names besides `arg1`, `arg2`, and `arg3`. When these become just three functions among hundreds in a massive codebase written by dozens of different people, it's helpful when the code itself gives you hints as to what it does." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "When you call a function, you'll need to provide the same number of arguments in the function call as appear in the function header, otherwise Python will yell at you." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "one_arg SUCCEEDED\n", "two_args FAILED: two_args() missing 1 required positional argument: 'arg2'\n" ] } ], "source": [ "try:\n", " one_arg(\"some arg\")\n", "except Exception as e:\n", " print(\"one_arg FAILED: {}\".format(e))\n", "else:\n", " print(\"one_arg SUCCEEDED\")\n", "\n", "try:\n", " two_args(\"only1arg\")\n", "except Exception as e:\n", " print(\"two_args FAILED: {}\".format(e))\n", "else:\n", " print(\"two_args SUCCEEDED\")" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "To be fair, it's a pretty easy error to diagnose, but still something to keep in mind--especially as we move beyond basic \"positional\" arguments (as they are so called in the previous error message) into optional arguments." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "### Default arguments\n", "\n", "\"Positional\" arguments--the only kind we've seen so far--are required. If the function header specifies a positional argument, then every single call to that functions needs to have that argument specified." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "There are cases, however, where it can be helpful to have optional, or *default*, arguments. In this case, when the function is called, the programmer can decide whether or not they want to override the default values." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "You can specify default arguments in the function header:" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "'Input string' with default arg 10\n", "'Input string' with default arg 999\n" ] } ], "source": [ "def func_with_default_arg(positional, default = 10):\n", " print(\"'{}' with default arg {}\".format(positional, default))\n", "\n", "func_with_default_arg(\"Input string\")\n", "func_with_default_arg(\"Input string\", default = 999)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "If you look through the NumPy online documentation, you'll find most of its functions have entire books' worth of default arguments." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "The `numpy.array` function we've been using has quite a few; the only positional (required) argument for that function is some kind of list/array structure to wrap a NumPy array around. Everything else it tries to figure out on its own, *unless* the programmer explicitly specifies otherwise." ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[1 2 3]\n", "[ 1. 2. 3.]\n" ] } ], "source": [ "import numpy as np\n", "x = np.array([1, 2, 3])\n", "y = np.array([1, 2, 3], dtype = float) # Specifying the data type of the array, using \"dtype\"\n", "\n", "print(x)\n", "print(y)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "Notice the decimal points that follow the values in the second array! This is NumPy's way of showing that these numbers are *floats*, not integers!" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "In this example, NumPy detected that our initial list contained integers, and we see in the first example that it left the integer type alone. But, in the second example, we override its default behavior in determining the data type of the elements of the resulting NumPy array. **This is a very powerful mechanism for occasionally tweaking the behavior of functions without having to write entirely new ones.**" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "Let's do one more small example before moving on to return values. Let's build a method which prints out a list of video games in someone's Steam library." ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "User 'fps123' owns: \n", "DOTA 2\n", "Left 4 Dead\n", "Doom\n", "Counterstrike\n", "Team Fortress 2\n", "\n", "User 'rts456' owns: \n", "Civilization V\n", "Cities: Skylines\n", "Sins of a Solar Empire\n", "\n", "User 'smrt789' owns: \n", "Binding of Isaac\n", "Monaco\n", "\n" ] } ], "source": [ "def games_in_library(username, library):\n", " print(\"User '{}' owns: \".format(username))\n", " for game in library:\n", " print(game)\n", " print()\n", "\n", "games_in_library('fps123', ['DOTA 2', 'Left 4 Dead', 'Doom', 'Counterstrike', 'Team Fortress 2'])\n", "games_in_library('rts456', ['Civilization V', 'Cities: Skylines', 'Sins of a Solar Empire'])\n", "games_in_library('smrt789', ['Binding of Isaac', 'Monaco'])" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "In this example, our function `games_in_library` has two positional arguments: `username`, which is the Steam username of the person, and `library`, which is a list of video game titles. The function simply prints out the username and the titles they own." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Part 3: Return Values\n", "\n", "Just as functions [can] take input, they also [can] return output for the programmer to decide what to do with." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "Almost any function you will ever write will most likely have a return value of some kind. If not, your function may not be \"well-behaved\", aka sticking to the general guideline of doing one thing very well." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "There are certainly some cases where functions won't return anything--functions that just print things, functions that run forever (yep, they exist!), functions designed specifically to test other functions--but these are highly specialized cases we are not likely to encounter in this course. Keep this in mind as a \"rule of thumb.\"" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "To return a value from a function, just use the `return` keyword:" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "this is the function input\n" ] } ], "source": [ "def identity_function(in_arg):\n", " return in_arg\n", "\n", "x = \"this is the function input\"\n", "return_value = identity_function(x)\n", "print(return_value)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "This is pretty basic: the function returns back to the programmer as output whatever was passed into the function as input. Hence, \"identity function.\"" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "Anything you can pass in as function parameters, you can return as function output, including lists:" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "['B', 'l', 'a', 'h', 'b', 'l', 'a', 'h', 'b', 'l', 'a', 'h']\n" ] } ], "source": [ "def explode_string(some_string):\n", " list_of_characters = []\n", " for index in range(len(some_string)):\n", " list_of_characters.append(some_string[index])\n", " return list_of_characters\n", "\n", "words = \"Blahblahblah\"\n", "output = explode_string(words)\n", "print(output)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "This function takes a string as input, uses a loop to \"explode\" the string, and returns a list of individual characters." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "(it should be noted this entire function can be replaced by one line: **`output = list(words)`**, but it serves well as an illustration that you can pass in to and return from functions any data types you'd like)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Review Questions\n", "\n", "Some questions to discuss and consider:\n", "\n", "1: You're a software engineer for a prestigious web company named after a South American rain forest. You've been tasked with rewriting their web-based shopping cart functionality for users who purchase items through the site. Without going into too much detail, quickly list out a handful of functions you'd want to write with their basic arguments. Again, no need for excessive detail; just consider the workflow of navigating an online store and purchasing items with a shopping cart, and identify some of the key bits of functionality you'd want to write standalone functions for, as well as the inputs and outputs of those functions.\n", "\n", "2: From where do you think the term \"positional argument\" gets its name?\n", "\n", "3: In NumPy you have a lot of math-oriented utility functions, like `numpy.log`, `numpy.exp`, `numpy.cos`, and so on. Describe in words (in terms of functions, their inputs, and their return values) how the code in this line works: `x = numpy.log(numpy.exp(numpy.cos(100.0)))`\n", "\n", "4: Go back to the `explode_string` example in Cell 9 above. Rewrite that loop in the form of a list comprehension (throwback review question! hashtag \"trq\")." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Course Administrivia\n", "\n", " - Please let me know if you found the review session helpful, what was/wasn't helpful, or whatever other thoughts you may have on the course so far.\n", " \n", " - A4 is due **tomorrow**, and A5 comes out tomorrow. It is the final assignment before the midterm; A6 won't come out until July 7.\n", " \n", " - Please ask me for help if you're struggling, or if something isn't clear, or if you have a question you think is stupid! No stupid questions, *especially* when it's an introductory course." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Additional Resources\n", "\n", " 1. Matthes, Eric. *Python Crash Course*. 2016. ISBN-13: 978-1593276034" ] } ], "metadata": { "celltoolbar": "Slideshow", "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
digitaldingo/task_force
Studiepraktikdag - dataanalyse.ipynb
1
101102
{ "metadata": { "name": "", "signature": "sha256:74d813854f2e100d25c35670aaefd23fe6210310678b27a0160dc53dc264d447" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "%pylab inline\n", "from ekstra_funktioner import *\n", "\n", "# F\u00f8lgende s\u00e6tter st\u00f8rrelsen af figurene (i tommer).\n", "# Du kan pr\u00f8ve at \u00e6ndre st\u00f8rrelsen, hvis figurene er for sm\u00e5 eller for store.\n", "pylab.rcParams['figure.figsize'] = (10.0, 6.0)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Populating the interactive namespace from numpy and matplotlib\n" ] } ], "prompt_number": 1 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Find en funktion" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Din mor fandt under oprydning af den gamle kommode et sp\u00e6ndende datas\u00e6t. Hun kunne rigtig godt t\u00e6nke sig at vide, hvordan den underliggende funktion, dataene kommer fra, ser ud, s\u00e5 hun har spurgt dig om hj\u00e6lp!\n", "\n", "Lad os starte med at tage et kig p\u00e5 dataene:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "x,y = ukendte_data()\n", "plot_data(x,y)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAnQAAAGNCAYAAACYHOyDAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nkOEIdAAAABmOQAcAAJDhCHQAAAAZ7v8DGmAKVoPHr/MAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x7f3f91f01a90>" ] } ], "prompt_number": 2 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Opgave 1" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Hj\u00e6lp din mor med at finde den funktion, som dataene h\u00f8jst sandsynligt stammer fra.\n", "\n", "Start med at g\u00e6tte p\u00e5 familien af funktioner, du mener dataene kunne komme fra. Hvad er mulige bud? \n", "\n", "Det kan nogle gange v\u00e6re en hj\u00e6lp at pr\u00f8ve at plotte funktionerne. Du kan f.eks. plotte en sinusfunktion ved at skrive `plot(x, sin(x))`. Pr\u00f8v at teste nogle forskellige funktioner herunder og se, om du kan f\u00e5 dem til at passe med dataene." ] }, { "cell_type": "code", "collapsed": false, "input": [ "x2 = linspace(-10, 10, 200) # Vi laver f\u00f8rst nogle x-v\u00e6rdier, vi kan \n", " # bruge til at plotte med. Her genereres \n", " # 200 punkter mellem -10 og 10.\n", "\n", "plot_data(x,y) # Vi plotter dataene igen.\n", "plot(x2, 5*sin(x2 - 2)) # Plot en sinus-kurve.\n", "plot(x2, 3*cos(0.7*x2 + 2)) # Plot en cosinus-kurve.\n", "#plot(x2, ...) # Find selv p\u00e5 mere her!" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 3, "text": [ "[<matplotlib.lines.Line2D at 0x7f3f63bef470>]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAnQAAAGNCAYAAACYHOyDAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x7f3f63c2d320>" ] } ], "prompt_number": 3 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Opgave 2" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Din mor kommer nu i tanke om, at dataene kom fra et polynomium, men hun er helt blank p\u00e5 hvilken orden, polynomiet havde.\n", "\n", "Pr\u00f8v at teste polynomier af forskellige ordener. Koden herunder vil automatisk fitte polynomiet til dataene, s\u00e5 du beh\u00f8ver ikke bekymre dig om alle konstanterne.\n", "\n", "*Lidt mere forklaring af figuren:* Figuren viser to plots. \u00d8verst vises dataene og den funktion, du i \u00f8jeblikket har valgt. Nederst vises st\u00f8rrelsen (dvs. den absolutte v\u00e6rdi) af alle konstanterne (koefficienterne) i funktionsforskriften i form af et s\u00f8jlediagram. V\u00e6rdien, s\u00f8jlerne er centreret p\u00e5, fort\u00e6ller hvilket led, konstanten h\u00f8rer til - 0 svarer til konstantleddet, 1 til leddet med x osv. Med en nummerering af kontanterne, ville funktionen se s\u00e5ledes ud:\n", "\n", "$$ f(x) = a_0 + a_1\\cdot x + a_2\\cdot x^2 + a_3\\cdot x^3 + \\ldots $$\n", "\n", "L\u00e6g m\u00e6rke til, hvordan konstanterne ($a$'erne) er indekseret - det er d\u00e9t tal, du kan se p\u00e5 $x$-aksen p\u00e5 den nederste graf. L\u00e6g ogs\u00e5 m\u00e6rke til, at $y$-aksen i denne graf er logaritmisk." ] }, { "cell_type": "code", "collapsed": false, "input": [ "plot_interaktivt_polynomium(x2)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAoYAAAHDCAYAAAC599s7AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x7f3f63bd30b8>" ] } ], "prompt_number": 4 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Hvad sker der med koefficienterne, n\u00e5r du tilf\u00f8jer flere ordener?" ] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Opgave 3" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Din mor er ut\u00e5lmodig. Hun vil vide hvilken orden, polynomiet h\u00f8jst sandsynligt har haft - ellers f\u00e5r du ingen dessert. Hvad svarer du? Hvorfor mener du, at det lige pr\u00e6cis er d\u00e9n orden, der er den rigtige? Hvorfor ikke en h\u00f8jere/lavere?" ] }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
mit
drvinceknight/gt
nbs/other/Schedule.ipynb
1
1460
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Approximate weekly schedule\n", "\n", "- Week 1:\n", " - About the course\n", " - Normal form games\n", "- Week 2:\n", " - Calculating utilities of strategies\n", " - Rationalisation\n", "- Week 3:\n", " - Nash equilibria\n", "- Week 4:\n", " - Repeated Games\n", " - Prisoners Dilemma\n", "- Week 5:\n", " - Evolutionary Game Theory \n", "- Week 6:\n", " - Moran processes\n", " - Release of individual coursework Friday the 11th of March\n", "- Week 7:\n", " - Mock coursework\n", "- Week 8:\n", " - Slack\n", "- Week 9:\n", " - Individual coursework deadline: Friday the 1st of April\n", "- Week 10:\n", " - Principles of presentation: https://vknight.org/pop/\n", " - Group coursework paper deadline: Friday the 29th of April\n", "- Week 11:\n", " - Group presentations" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.8.3" } }, "nbformat": 4, "nbformat_minor": 4 }
mit
rasbt/python-machine-learning-book
code/ch12/ch12.ipynb
1
1876868
null
mit
zhongdai/learn-scikit
ch02_svm_image_classifier.ipynb
1
2139958
null
mit
ES-DOC/esdoc-jupyterhub
notebooks/test-institute-3/cmip6/models/sandbox-1/land.ipynb
1
173522
{ "nbformat_minor": 0, "nbformat": 4, "cells": [ { "source": [ "# ES-DOC CMIP6 Model Properties - Land \n", "**MIP Era**: CMIP6 \n", "**Institute**: TEST-INSTITUTE-3 \n", "**Source ID**: SANDBOX-1 \n", "**Topic**: Land \n", "**Sub-Topics**: Soil, Snow, Vegetation, Energy Balance, Carbon Cycle, Nitrogen Cycle, River Routing, Lakes. \n", "**Properties**: 154 (96 required) \n", "**Model descriptions**: [Model description details](https://specializations.es-doc.org/cmip6/land?client=jupyter-notebook) \n", "**Initialized From**: -- \n", "\n", "**Notebook Help**: [Goto notebook help page](https://es-doc.org/cmip6-models-documenting-with-ipython) \n", "**Notebook Initialised**: 2018-02-15 16:54:46" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### Document Setup \n", "**IMPORTANT: to be executed each time you run the notebook** " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# DO NOT EDIT ! \n", "from pyesdoc.ipython.model_topic import NotebookOutput \n", "\n", "# DO NOT EDIT ! \n", "DOC = NotebookOutput('cmip6', 'test-institute-3', 'sandbox-1', 'land')" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Authors \n", "*Set document authors*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set as follows: DOC.set_author(\"name\", \"email\") \n", "# TODO - please enter value(s)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Contributors \n", "*Specify document contributors* " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set as follows: DOC.set_contributor(\"name\", \"email\") \n", "# TODO - please enter value(s)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Publication \n", "*Specify document publication status* " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set publication status: \n", "# 0=do not publish, 1=publish. \n", "DOC.set_publication_status(0)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Table of Contents \n", "[1. Key Properties](#1.-Key-Properties) \n", "[2. Key Properties --&gt; Conservation Properties](#2.-Key-Properties---&gt;-Conservation-Properties) \n", "[3. Key Properties --&gt; Timestepping Framework](#3.-Key-Properties---&gt;-Timestepping-Framework) \n", "[4. Key Properties --&gt; Software Properties](#4.-Key-Properties---&gt;-Software-Properties) \n", "[5. Grid](#5.-Grid) \n", "[6. Grid --&gt; Horizontal](#6.-Grid---&gt;-Horizontal) \n", "[7. Grid --&gt; Vertical](#7.-Grid---&gt;-Vertical) \n", "[8. Soil](#8.-Soil) \n", "[9. Soil --&gt; Soil Map](#9.-Soil---&gt;-Soil-Map) \n", "[10. Soil --&gt; Snow Free Albedo](#10.-Soil---&gt;-Snow-Free-Albedo) \n", "[11. Soil --&gt; Hydrology](#11.-Soil---&gt;-Hydrology) \n", "[12. Soil --&gt; Hydrology --&gt; Freezing](#12.-Soil---&gt;-Hydrology---&gt;-Freezing) \n", "[13. Soil --&gt; Hydrology --&gt; Drainage](#13.-Soil---&gt;-Hydrology---&gt;-Drainage) \n", "[14. Soil --&gt; Heat Treatment](#14.-Soil---&gt;-Heat-Treatment) \n", "[15. Snow](#15.-Snow) \n", "[16. Snow --&gt; Snow Albedo](#16.-Snow---&gt;-Snow-Albedo) \n", "[17. Vegetation](#17.-Vegetation) \n", "[18. Energy Balance](#18.-Energy-Balance) \n", "[19. Carbon Cycle](#19.-Carbon-Cycle) \n", "[20. Carbon Cycle --&gt; Vegetation](#20.-Carbon-Cycle---&gt;-Vegetation) \n", "[21. Carbon Cycle --&gt; Vegetation --&gt; Photosynthesis](#21.-Carbon-Cycle---&gt;-Vegetation---&gt;-Photosynthesis) \n", "[22. Carbon Cycle --&gt; Vegetation --&gt; Autotrophic Respiration](#22.-Carbon-Cycle---&gt;-Vegetation---&gt;-Autotrophic-Respiration) \n", "[23. Carbon Cycle --&gt; Vegetation --&gt; Allocation](#23.-Carbon-Cycle---&gt;-Vegetation---&gt;-Allocation) \n", "[24. Carbon Cycle --&gt; Vegetation --&gt; Phenology](#24.-Carbon-Cycle---&gt;-Vegetation---&gt;-Phenology) \n", "[25. Carbon Cycle --&gt; Vegetation --&gt; Mortality](#25.-Carbon-Cycle---&gt;-Vegetation---&gt;-Mortality) \n", "[26. Carbon Cycle --&gt; Litter](#26.-Carbon-Cycle---&gt;-Litter) \n", "[27. Carbon Cycle --&gt; Soil](#27.-Carbon-Cycle---&gt;-Soil) \n", "[28. Carbon Cycle --&gt; Permafrost Carbon](#28.-Carbon-Cycle---&gt;-Permafrost-Carbon) \n", "[29. Nitrogen Cycle](#29.-Nitrogen-Cycle) \n", "[30. River Routing](#30.-River-Routing) \n", "[31. River Routing --&gt; Oceanic Discharge](#31.-River-Routing---&gt;-Oceanic-Discharge) \n", "[32. Lakes](#32.-Lakes) \n", "[33. Lakes --&gt; Method](#33.-Lakes---&gt;-Method) \n", "[34. Lakes --&gt; Wetlands](#34.-Lakes---&gt;-Wetlands) \n", "\n" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "# 1. Key Properties \n", "*Land surface key properties*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 1.1. Model Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of land surface model.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.model_overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.2. Model Name\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Name of land surface model code (e.g. MOSES2.2)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.model_name') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.3. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of the processes modelled (e.g. dymanic vegation, prognostic albedo, etc.)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.4. Land Atmosphere Flux Exchanges\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Fluxes exchanged with the atmopshere.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.land_atmosphere_flux_exchanges') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"water\" \n", "# \"energy\" \n", "# \"carbon\" \n", "# \"nitrogen\" \n", "# \"phospherous\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.5. Atmospheric Coupling Treatment\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the treatment of land surface coupling with the Atmosphere model component, which may be different for different quantities (e.g. dust: semi-implicit, water vapour: explicit)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.atmospheric_coupling_treatment') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.6. Land Cover\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Types of land cover defined in the land surface model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.land_cover') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"bare soil\" \n", "# \"urban\" \n", "# \"lake\" \n", "# \"land ice\" \n", "# \"lake ice\" \n", "# \"vegetated\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.7. Land Cover Change\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe how land cover change is managed (e.g. the use of net or gross transitions)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.land_cover_change') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.8. Tiling\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general tiling procedure used in the land surface (if any). Include treatment of physiography, land/sea, (dynamic) vegetation coverage and orography/roughness*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 2. Key Properties --&gt; Conservation Properties \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 2.1. Energy\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe if/how energy is conserved globally and to what level (e.g. within X [units]/year)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.conservation_properties.energy') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 2.2. Water\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe if/how water is conserved globally and to what level (e.g. within X [units]/year)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.conservation_properties.water') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 2.3. Carbon\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe if/how carbon is conserved globally and to what level (e.g. within X [units]/year)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.conservation_properties.carbon') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 3. Key Properties --&gt; Timestepping Framework \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 3.1. Timestep Dependent On Atmosphere\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is a time step dependent on the frequency of atmosphere coupling?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.timestepping_framework.timestep_dependent_on_atmosphere') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 3.2. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overall timestep of land surface model (i.e. time between calls)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.timestepping_framework.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 3.3. Timestepping Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of time stepping method and associated time step(s)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.timestepping_framework.timestepping_method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 4. Key Properties --&gt; Software Properties \n", "*Software properties of land surface code*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 4.1. Repository\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Location of code for this component.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.software_properties.repository') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 4.2. Code Version\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Code version identifier.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.software_properties.code_version') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 4.3. Code Languages\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Code language(s).*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.software_properties.code_languages') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 5. Grid \n", "*Land surface grid*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 5.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of the grid in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 6. Grid --&gt; Horizontal \n", "*The horizontal grid in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 6.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general structure of the horizontal grid (not including any tiling)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.horizontal.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 6.2. Matches Atmosphere Grid\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Does the horizontal grid match the atmosphere?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.horizontal.matches_atmosphere_grid') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 7. Grid --&gt; Vertical \n", "*The vertical grid in the soil*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 7.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general structure of the vertical grid in the soil (not including any tiling)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.vertical.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 7.2. Total Depth\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The total depth of the soil (in metres)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.vertical.total_depth') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 8. Soil \n", "*Land surface soil*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 8.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of soil in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.2. Heat Water Coupling\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the coupling between heat and water in the soil*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_water_coupling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.3. Number Of Soil layers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The number of soil layers*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.number_of_soil layers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.4. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the soil scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 9. Soil --&gt; Soil Map \n", "*Key properties of the land surface soil map*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 9.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of soil map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.2. Structure\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil structure map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.structure') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.3. Texture\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil texture map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.texture') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.4. Organic Matter\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil organic matter map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.organic_matter') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.5. Albedo\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil albedo map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.albedo') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.6. Water Table\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil water table map, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.water_table') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.7. Continuously Varying Soil Depth\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Does the soil properties vary continuously with depth?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.continuously_varying_soil_depth') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.8. Soil Depth\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil depth map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.soil_depth') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 10. Soil --&gt; Snow Free Albedo \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 10.1. Prognostic\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is snow free albedo prognostic?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.snow_free_albedo.prognostic') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 10.2. Functions\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *If prognostic, describe the dependancies on snow free albedo calculations*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.snow_free_albedo.functions') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"vegetation type\" \n", "# \"soil humidity\" \n", "# \"vegetation state\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 10.3. Direct Diffuse\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If prognostic, describe the distinction between direct and diffuse albedo*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.snow_free_albedo.direct_diffuse') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"distinction between direct and diffuse albedo\" \n", "# \"no distinction between direct and diffuse albedo\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 10.4. Number Of Wavelength Bands\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If prognostic, enter the number of wavelength bands used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.snow_free_albedo.number_of_wavelength_bands') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 11. Soil --&gt; Hydrology \n", "*Key properties of the land surface soil hydrology*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 11.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of the soil hydrological model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.2. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of river soil hydrology in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.3. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil hydrology tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.4. Vertical Discretisation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the typical vertical discretisation*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.vertical_discretisation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.5. Number Of Ground Water Layers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The number of soil layers that may contain water*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.number_of_ground_water_layers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.6. Lateral Connectivity\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Describe the lateral connectivity between tiles*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.lateral_connectivity') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"perfect connectivity\" \n", "# \"Darcian flow\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.7. Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The hydrological dynamics scheme in the land surface model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Bucket\" \n", "# \"Force-restore\" \n", "# \"Choisnel\" \n", "# \"Explicit diffusion\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 12. Soil --&gt; Hydrology --&gt; Freezing \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 12.1. Number Of Ground Ice Layers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *How many soil layers may contain ground ice*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.freezing.number_of_ground_ice_layers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 12.2. Ice Storage Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the method of ice storage*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.freezing.ice_storage_method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 12.3. Permafrost\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the treatment of permafrost, if any, within the land surface scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.freezing.permafrost') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 13. Soil --&gt; Hydrology --&gt; Drainage \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 13.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General describe how drainage is included in the land surface scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.drainage.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 13.2. Types\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Different types of runoff represented by the land surface model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.drainage.types') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Gravity drainage\" \n", "# \"Horton mechanism\" \n", "# \"topmodel-based\" \n", "# \"Dunne mechanism\" \n", "# \"Lateral subsurface flow\" \n", "# \"Baseflow from groundwater\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 14. Soil --&gt; Heat Treatment \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 14.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of how heat treatment properties are defined*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.2. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of soil heat scheme in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.3. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil heat treatment tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.4. Vertical Discretisation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the typical vertical discretisation*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.vertical_discretisation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.5. Heat Storage\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Specify the method of heat storage*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.heat_storage') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Force-restore\" \n", "# \"Explicit diffusion\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.6. Processes\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Describe processes included in the treatment of soil heat*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.processes') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"soil moisture freeze-thaw\" \n", "# \"coupling with snow temperature\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 15. Snow \n", "*Land surface snow*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 15.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of snow in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the snow tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.3. Number Of Snow Layers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The number of snow levels used in the land surface scheme/model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.number_of_snow_layers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.4. Density\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of snow density*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.density') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"constant\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.5. Water Equivalent\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of the snow water equivalent*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.water_equivalent') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.6. Heat Content\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of the heat content of snow*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.heat_content') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.7. Temperature\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of snow temperature*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.temperature') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.8. Liquid Water Content\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of snow liquid water*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.liquid_water_content') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.9. Snow Cover Fractions\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Specify cover fractions used in the surface snow scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.snow_cover_fractions') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"ground snow fraction\" \n", "# \"vegetation snow fraction\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.10. Processes\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Snow related processes in the land surface scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.processes') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"snow interception\" \n", "# \"snow melting\" \n", "# \"snow freezing\" \n", "# \"blowing snow\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.11. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the snow scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 16. Snow --&gt; Snow Albedo \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 16.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the treatment of snow-covered land albedo*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.snow_albedo.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"prescribed\" \n", "# \"constant\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 16.2. Functions\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *If prognostic, *" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.snow_albedo.functions') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"vegetation type\" \n", "# \"snow age\" \n", "# \"snow density\" \n", "# \"snow grain type\" \n", "# \"aerosol deposition\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 17. Vegetation \n", "*Land surface vegetation*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 17.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of vegetation in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.2. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of vegetation scheme in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.3. Dynamic Vegetation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is there dynamic evolution of vegetation?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.dynamic_vegetation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.4. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the vegetation tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.5. Vegetation Representation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Vegetation classification used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.vegetation_representation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"vegetation types\" \n", "# \"biome types\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.6. Vegetation Types\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *List of vegetation types in the classification, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.vegetation_types') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"broadleaf tree\" \n", "# \"needleleaf tree\" \n", "# \"C3 grass\" \n", "# \"C4 grass\" \n", "# \"vegetated\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.7. Biome Types\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *List of biome types in the classification, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biome_types') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"evergreen needleleaf forest\" \n", "# \"evergreen broadleaf forest\" \n", "# \"deciduous needleleaf forest\" \n", "# \"deciduous broadleaf forest\" \n", "# \"mixed forest\" \n", "# \"woodland\" \n", "# \"wooded grassland\" \n", "# \"closed shrubland\" \n", "# \"opne shrubland\" \n", "# \"grassland\" \n", "# \"cropland\" \n", "# \"wetlands\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.8. Vegetation Time Variation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *How the vegetation fractions in each tile are varying with time*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.vegetation_time_variation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"fixed (not varying)\" \n", "# \"prescribed (varying from files)\" \n", "# \"dynamical (varying from simulation)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.9. Vegetation Map\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If vegetation fractions are not dynamically updated , describe the vegetation map used (common name and reference, if possible)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.vegetation_map') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.10. Interception\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is vegetation interception of rainwater represented?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.interception') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.11. Phenology\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Treatment of vegetation phenology*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.phenology') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic (vegetation map)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.12. Phenology Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of vegetation phenology*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.phenology_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.13. Leaf Area Index\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Treatment of vegetation leaf area index*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.leaf_area_index') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prescribed\" \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.14. Leaf Area Index Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of leaf area index*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.leaf_area_index_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.15. Biomass\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Treatment of vegetation biomass *" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biomass') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.16. Biomass Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of vegetation biomass*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biomass_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.17. Biogeography\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Treatment of vegetation biogeography*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biogeography') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.18. Biogeography Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of vegetation biogeography*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biogeography_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.19. Stomatal Resistance\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Specify what the vegetation stomatal resistance depends on*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.stomatal_resistance') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"light\" \n", "# \"temperature\" \n", "# \"water availability\" \n", "# \"CO2\" \n", "# \"O3\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.20. Stomatal Resistance Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of vegetation stomatal resistance*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.stomatal_resistance_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.21. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the vegetation scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 18. Energy Balance \n", "*Land surface energy balance*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 18.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of energy balance in land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the energy balance tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.3. Number Of Surface Temperatures\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The maximum number of distinct surface temperatures in a grid cell (for example, each subgrid tile may have its own temperature)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.number_of_surface_temperatures') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.4. Evaporation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Specify the formulation method for land surface evaporation, from soil and vegetation*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.evaporation') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"alpha\" \n", "# \"beta\" \n", "# \"combined\" \n", "# \"Monteith potential evaporation\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.5. Processes\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Describe which processes are included in the energy balance scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.processes') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"transpiration\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 19. Carbon Cycle \n", "*Land surface carbon cycle*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 19.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of carbon cycle in land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the carbon cycle tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.3. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of carbon cycle in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.4. Anthropogenic Carbon\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Describe the treament of the anthropogenic carbon pool*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.anthropogenic_carbon') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"grand slam protocol\" \n", "# \"residence time\" \n", "# \"decay time\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.5. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the carbon scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 20. Carbon Cycle --&gt; Vegetation \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 20.1. Number Of Carbon Pools\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Enter the number of carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.number_of_carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 20.2. Carbon Pools\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 20.3. Forest Stand Dynamics\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the treatment of forest stand dyanmics*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.forest_stand_dynamics') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 21. Carbon Cycle --&gt; Vegetation --&gt; Photosynthesis \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 21.1. Method\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the general method used for photosynthesis (e.g. type of photosynthesis, distinction between C3 and C4 grasses, Nitrogen depencence, etc.)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.photosynthesis.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 22. Carbon Cycle --&gt; Vegetation --&gt; Autotrophic Respiration \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 22.1. Maintainance Respiration\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the general method used for maintainence respiration*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.autotrophic_respiration.maintainance_respiration') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 22.2. Growth Respiration\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the general method used for growth respiration*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.autotrophic_respiration.growth_respiration') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 23. Carbon Cycle --&gt; Vegetation --&gt; Allocation \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 23.1. Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general principle behind the allocation scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.allocation.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 23.2. Allocation Bins\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Specify distinct carbon bins used in allocation*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.allocation.allocation_bins') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"leaves + stems + roots\" \n", "# \"leaves + stems + roots (leafy + woody)\" \n", "# \"leaves + fine roots + coarse roots + stems\" \n", "# \"whole plant (no distinction)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 23.3. Allocation Fractions\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe how the fractions of allocation are calculated*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.allocation.allocation_fractions') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"fixed\" \n", "# \"function of vegetation type\" \n", "# \"function of plant allometry\" \n", "# \"explicitly calculated\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 24. Carbon Cycle --&gt; Vegetation --&gt; Phenology \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 24.1. Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general principle behind the phenology scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.phenology.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 25. Carbon Cycle --&gt; Vegetation --&gt; Mortality \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 25.1. Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general principle behind the mortality scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.mortality.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 26. Carbon Cycle --&gt; Litter \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 26.1. Number Of Carbon Pools\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Enter the number of carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.litter.number_of_carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 26.2. Carbon Pools\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.litter.carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 26.3. Decomposition\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the decomposition methods used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.litter.decomposition') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 26.4. Method\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the general method used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.litter.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 27. Carbon Cycle --&gt; Soil \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 27.1. Number Of Carbon Pools\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Enter the number of carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.soil.number_of_carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 27.2. Carbon Pools\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.soil.carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 27.3. Decomposition\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the decomposition methods used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.soil.decomposition') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 27.4. Method\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the general method used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.soil.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 28. Carbon Cycle --&gt; Permafrost Carbon \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 28.1. Is Permafrost Included\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is permafrost included?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.permafrost_carbon.is_permafrost_included') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 28.2. Emitted Greenhouse Gases\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the GHGs emitted*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.permafrost_carbon.emitted_greenhouse_gases') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 28.3. Decomposition\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the decomposition methods used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.permafrost_carbon.decomposition') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 28.4. Impact On Soil Properties\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the impact of permafrost on soil properties*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.permafrost_carbon.impact_on_soil_properties') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 29. Nitrogen Cycle \n", "*Land surface nitrogen cycle*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 29.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of the nitrogen cycle in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.nitrogen_cycle.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 29.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the notrogen cycle tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.nitrogen_cycle.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 29.3. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of nitrogen cycle in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.nitrogen_cycle.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 29.4. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the nitrogen scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.nitrogen_cycle.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 30. River Routing \n", "*Land surface river routing*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 30.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of river routing in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the river routing, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.3. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of river routing scheme in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.4. Grid Inherited From Land Surface\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is the grid inherited from land surface?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.grid_inherited_from_land_surface') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.5. Grid Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of grid, if not inherited from land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.grid_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.6. Number Of Reservoirs\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Enter the number of reservoirs*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.number_of_reservoirs') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.7. Water Re Evaporation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *TODO*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.water_re_evaporation') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"flood plains\" \n", "# \"irrigation\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.8. Coupled To Atmosphere\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Is river routing coupled to the atmosphere model component?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.coupled_to_atmosphere') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.9. Coupled To Land\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the coupling between land and rivers*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.coupled_to_land') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.10. Quantities Exchanged With Atmosphere\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *If couple to atmosphere, which quantities are exchanged between river routing and the atmosphere model components?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.quantities_exchanged_with_atmosphere') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"heat\" \n", "# \"water\" \n", "# \"tracers\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.11. Basin Flow Direction Map\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What type of basin flow direction map is being used?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.basin_flow_direction_map') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"present day\" \n", "# \"adapted for other periods\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.12. Flooding\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the representation of flooding, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.flooding') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.13. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the river routing*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 31. River Routing --&gt; Oceanic Discharge \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 31.1. Discharge Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Specify how rivers are discharged to the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.oceanic_discharge.discharge_type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"direct (large rivers)\" \n", "# \"diffuse\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 31.2. Quantities Transported\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Quantities that are exchanged from river-routing to the ocean model component*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.oceanic_discharge.quantities_transported') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"heat\" \n", "# \"water\" \n", "# \"tracers\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 32. Lakes \n", "*Land surface lakes*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 32.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of lakes in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.2. Coupling With Rivers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Are lakes coupled to the river routing model component?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.coupling_with_rivers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.3. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of lake scheme in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.4. Quantities Exchanged With Rivers\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *If coupling with rivers, which quantities are exchanged between the lakes and rivers*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.quantities_exchanged_with_rivers') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"heat\" \n", "# \"water\" \n", "# \"tracers\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.5. Vertical Grid\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the vertical grid of lakes*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.vertical_grid') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.6. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the lake scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 33. Lakes --&gt; Method \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 33.1. Ice Treatment\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is lake ice included?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.ice_treatment') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.2. Albedo\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the treatment of lake albedo*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.albedo') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.3. Dynamics\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Which dynamics of lakes are treated? horizontal, vertical, etc.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.dynamics') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"No lake dynamics\" \n", "# \"vertical\" \n", "# \"horizontal\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.4. Dynamic Lake Extent\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is a dynamic lake extent scheme included?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.dynamic_lake_extent') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.5. Endorheic Basins\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Basins not flowing to ocean included?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.endorheic_basins') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 34. Lakes --&gt; Wetlands \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 34.1. Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the treatment of wetlands, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.wetlands.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### \u00a92017 [ES-DOC](https://es-doc.org) \n" ], "cell_type": "markdown", "metadata": {} } ], "metadata": { "kernelspec": { "display_name": "Python 2", "name": "python2", "language": "python" }, "language_info": { "mimetype": "text/x-python", "nbconvert_exporter": "python", "name": "python", "file_extension": ".py", "version": "2.7.10", "pygments_lexer": "ipython2", "codemirror_mode": { "version": 2, "name": "ipython" } } } }
gpl-3.0
jhartford/pybo
pybo - pygp tests.ipynb
1
16076
{ "cells": [ { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import pygp\n", "import numpy as np" ] }, { "cell_type": "code", "execution_count": 63, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[[ 2.08397043]\n", " [-0.82101807]\n", " [-0.6178707 ]\n", " [-1.18382261]\n", " [ 0.27408744]\n", " [ 0.59944173]\n", " [ 1.76889792]\n", " [-0.46564555]\n", " [ 0.58885278]\n", " [-0.83298221]\n", " [-0.51210653]\n", " [ 0.27788314]\n", " [-0.06587043]\n", " [-0.82141236]\n", " [ 0.18539944]\n", " [-0.85829617]\n", " [ 0.37078663]\n", " [-1.40986916]\n", " [-0.14466841]\n", " [-0.55329962]]\n", "[ 2.50721853 -0.21750539 0.02024332 -0.42130159 1.11842953 -0.28980512\n", " 1.86022698 -0.34258445 0.02840726 -0.3052106 -0.47671761 1.06117071\n", " 0.2335452 -0.14932212 1.53588071 -0.0670771 0.757132 0.28406853\n", " -0.25679137 -0.34599644]\n" ] } ], "source": [ "data = np.load('xy.npz')\n", "X = data['X']\n", "y = data['y']\n", "print X\n", "print y" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [], "source": [ "gp = pygp.BasicGP(sn=.1, sf=1, ell=.1, mu=0)\n", "gp.add_data(X, y)\n", "#gp.add_data(X, y)" ] }, { "cell_type": "code", "execution_count": 58, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(20, 1)\n", "20\n" ] } ], "source": [ "print gp.data[0].shape\n", "print gp.ndata" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Optimisation examples" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from scipy.optimize import minimize, rosen, rosen_der" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": true }, "outputs": [], "source": [ "x0 = [1.3, 0.7, 0.8, 1.9, 1.2]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Nelder Mead" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([ 0.99910115, 0.99820923, 0.99646346, 0.99297555, 0.98600385])" ] }, "execution_count": 27, "metadata": {}, "output_type": "execute_result" } ], "source": [ "res = minimize(rosen, x0, method='Nelder-Mead')\n", "res.x" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "BFGS" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Optimization terminated successfully.\n", " Current function value: 0.000000\n", " Iterations: 52\n", " Function evaluations: 64\n", " Gradient evaluations: 64\n" ] }, { "data": { "text/plain": [ "array([ 1., 1., 1., 1., 1.])" ] }, "execution_count": 28, "metadata": {}, "output_type": "execute_result" } ], "source": [ "res = minimize(rosen, x0, method='BFGS', jac=rosen_der, options={'gtol': 1e-6, 'disp': True})\n", "res.x" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "BFGS with callback" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Optimization terminated successfully.\n", " Current function value: 0.000000\n", " Iterations: 52\n", " Function evaluations: 64\n", " Gradient evaluations: 64\n" ] }, { "data": { "text/plain": [ "array([ 1., 1., 1., 1., 1.])" ] }, "execution_count": 30, "metadata": {}, "output_type": "execute_result" } ], "source": [ "X_y = ([], [])\n", "def callbackF(Xi):\n", " global X_y\n", " X_y[0].append(Xi)\n", " X_y[1].append(rosen(Xi))\n", "\n", "res = minimize(rosen, x0, method='BFGS',\n", " jac=rosen_der,\n", " options={'gtol': 1e-6, 'disp': True}, callback=callbackF)\n", "res.x" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false }, "outputs": [], "source": [ "X, y = X_y" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Convex hull" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from scipy.spatial import ConvexHull" ] }, { "cell_type": "code", "execution_count": 46, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import matplotlib.pyplot as plt\n", "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 49, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(52, 5) (52,)\n" ] } ], "source": [ "X = np.array(X)\n", "y = np.array(y)\n", "print X.shape, y.shape" ] }, { "cell_type": "code", "execution_count": 50, "metadata": { "collapsed": true }, "outputs": [], "source": [ "hull = ConvexHull(X)" ] }, { "cell_type": "code", "execution_count": 52, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(51,)" ] }, "execution_count": 52, "metadata": {}, "output_type": "execute_result" } ], "source": [ "hull.vertices.shape" ] }, { "cell_type": "code", "execution_count": 55, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([19, 16, 3, 4, 28, 15, 22, 6, 10], dtype=int32)" ] }, "execution_count": 55, "metadata": {}, "output_type": "execute_result" } ], "source": [ "points = np.random.rand(30, 2) \n", "hull = ConvexHull(points)\n", "hull.vertices" ] }, { "cell_type": "code", "execution_count": 56, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[<matplotlib.lines.Line2D at 0x7fcec6dd0d10>]" ] }, "execution_count": 56, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXcAAAEACAYAAABI5zaHAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAFf1JREFUeJzt3W9sXFeZx/HfU0+xF2lbQyL1RVooNlUXVqItiLYsu2Ug\nfzxptLQCaauQgFjQxtqV7b6JSBsndKTWbC35BbEtddNul0WqRFYLqNvdaRynhZFRtxQqkRa2SZUM\nKUpaVJFAKrRbW5nk2ReeOJPJxPPHd+bOnPl+pEi+d27HT4/Gv7n3nHPPNXcXACAsV8VdAAAgeoQ7\nAASIcAeAABHuABAgwh0AAkS4A0CAKoa7mf2Lmb1tZr9c5phJMztqZq+Y2W3RlggAqFU1Z+7fkZS6\n0otmdrekD7v7TZK2SXosotoAAHWqGO7u/hNJf1jmkM9L+m7h2Jck9ZrZddGUBwCoRxR97msknSja\nPinp+gjeFwBQp6gGVK1kmzUNACBGiQje401JNxRtX1/YdwkzI/ABoA7uXnoCXVEUZ+7PSPqKJJnZ\nnZLOuPvb5Q50d/6566GHHoq9hlb5R1vQFrTF8v/qVc1UyO9J+m9JN5vZCTP7mpkNmtlgIbCflfRr\nMzsmaa+kf6i7GrS9ifS4Uqv7dG/vjUqt7tNEejzukoCOVLFbxt03V3HMUDTloJ1NpMd1aOxRzeTP\nLO3bOvaoJiRtT++IrzB0nIn0uJ6b3que/HnNJ67SuqHBjvsMcodqDJLJZNwlNMRz03v1VFGwS9JT\n+TN6fvrxK/43obZFPWiLi1bSFksnGaeP6+l3fqOZ08d1aOzRFV1FtuMVqa2kT6emX2TmzfpdiMe9\nvTfq6Xd+c/n+az+op8+80fyC0JFSq/s0c/r4Zfs3rurT/lO5mt/vwpdF8YnL1kSvbh19oClXA2Ym\nj2lAFZAkzSfKf5wWEl1NrgSdrCd/vuz+7vy5ut6vnivSVkC4IzLrhga1NdF7yb4tiV6tHdoWU0Xo\nRFGfZET9ZdEshDsisz29Q7eOPqCNq/p077Uf1MZVfbqtSZeuwAVRn2S06xUpfe4AgjORHtfz04+r\nO39OC4kurR3aVvdJRrk+9y2J3qaduNTb5064A+g4mcycJidntbCQUHd3XiMjG7Rp011XPD7KL4ta\nEe4AUIVMZk73339AudzY0r7+/lHt2TOwbMDHhdkyAFCFycnZS4JdknK5MU1NHYyposaIYuEwIHa1\nXmajcy0slI+9+fnWHiCtFeGOtlfuMjuXG5UkAh6X6e7Ol93f09PaUxtrRbcM2l6nXGYjGiMjG9Tf\nP3rJvv7+nRoeXh9TRY3BmTvaXqdcZpdDd1TtLrTP1NRuzc93qafnnIaHU8G1G+GOttcpl9ml6I6q\n36ZNdwXfRnTLoO11ymV2KbqjsBzO3NH2OuUyu1Qnd0ehMsIdNWvFft5OuMwu1andUagO4Y6a0M/b\nOkZGNiiXGy2503KnhodTMVaFVsHyA6jJwMAuzc4+Umb/bs3MPBxDRZ0tk5nT1NTBou6o9XzJBqbe\n5Qc4c0dN6OdtLZ3YHYXqMFsGNaGfF2gPhDtq0qnTDoF2Q587akY/L9A8rOcOAAFiPXcAwBLCHQAC\nRLgDQIAIdwAIEOEOAAEi3AEgQIQ7AASIcAeAABHuABAgwh0AAkS4A0CACHcACFDFcDezlJkdMbOj\nZrajzOurzWzGzA6Z2a/M7KsNqRQAULVlV4U0sy5Jr0taJ+lNST+XtNndDxcdk5bU7e4PmtnqwvHX\nuXu+5L1YFRIAatSoVSFvl3TM3d9w97OS9km6p+SY30q6pvDzNZJOlwY7AKC5Kj1DdY2kE0XbJyXd\nUXLME5J+ZGZvSfpTSX8TXXkAgHpUCvdq+lF2Sjrk7kkz65d00Mxucfc/lh6YTqeXfk4mk0omkzWU\nilaQycxpcnJWCwsJdXfnNTKygacwARHKZrPKZrMrfp9Kfe53Skq7e6qw/aCk8+4+XnTMs5LG3P2F\nwvbzkna4+8sl70Wfe5vLZOZ0//0HlMuNLe3r7x/Vnj0DBDzQII3qc39Z0k1mdqOZvUfSfZKeKTnm\niBYHXGVm10m6WdKvay0ErW9ycvaSYJekXG5MU1MHY6oIwJUs2y3j7nkzG5J0QFKXpCfd/bCZDRZe\n3yvpW5K+Y2avaPHL4hvu/vsG140YLCyU/7jMz3c1uRIAlVTqc5e775e0v2Tf3qKfT0n66+hLQ6vp\n7i4/Caqn51yTKwFQCXeoomojIxvU3z96yb7+/p0aHl4fU0UArmTZAdVIfxEDqkHIZOY0NXVQ8/Nd\n6uk5p+Hh9QymAg1U74Aq4Q4ALaxRs2UAAG2IcAeAABHuABAgwh0AAkS4A0CACHcACBDhDgABItwB\nIECEOwAEiHAHgABVXBUSABqBp3o1FuEOoOnKPdUrl1tccZSAjwbdMgCajqd6NR7hDqDpeKpX4xHu\nAJqOp3o1HuEOoOl4qlfj8bAOALHgqV7V6fgnMU2kx/Xc9F715M9rPnGV1g0Nant6R8N+HwA0Q0eH\n+0R6XIfGHtVT+TNL+7YmenXr6AMEPIC21tHhnlrdp5nTxy/bv3FVn/afyjXkdwJAM3T0M1R78ufL\n7u/OM/IOoDMFEe7zifL/GwsJ5swC6ExBhPu6oUFtTfResm9Loldrh7bFVBEAxCuIPndpcVD1+enH\n1Z0/p4VEl9YObWMwFUDb6+gBVeACVhpEaOoNd1aFRDBYaRC4KIg+d0BipUGgGOGOYLDSIHAR4Y5g\nsNIgcBHhjmCw0iBwEbNlEBRWGkRomAoJAAFq2NoyZpYysyNmdtTMyt4VZGZJM/uFmf3KzLK1FgEA\niNayZ+5m1iXpdUnrJL0p6eeSNrv74aJjeiW9IGnA3U+a2Wp3P1XmvThzB4AaNerM/XZJx9z9DXc/\nK2mfpHtKjvmSpB+4+0lJKhfsAIDmqhTuaySdKNo+WdhX7CZJ7zezH5vZy2b25SgLBADUrtLyA9X0\no1wt6eOS1kp6r6QXzeyn7n50pcUBAOpTKdzflHRD0fYNWjx7L3ZC0il3f1fSu2Y2J+kWSZeFezqd\nXvo5mUwqmUzWXjEABCybzSqbza74fSoNqCa0OKC6VtJbkn6mywdU/0zStKQBSd2SXpJ0n7u/VvJe\nDKgiSKxEiUZqyKqQ7p43syFJByR1SXrS3Q+b2WDh9b3ufsTMZiS9Kum8pCdKgx0IFStRolVxExOw\nAgMDuzQ7+0iZ/bs1M/NwDBUhNB39gGwgLqxEiVZFuAMrwEqUaFWEO7ACrESJVkWfO7BCrESJRmJV\nSAAIEAOqAIAlhDsABIhwB4AAEe4AECDCHQACRLgDQIAIdwAIEOEOAAEi3AEgQJWexAQ0HQ+/AFaO\ncEdL4eEXQDTolkFLmZycvSTYJSmXG9PU1MGYKgLaE+GOlsLDL4BoEO5oKTz8AogG4Y6WUs/DLzKZ\nOQ0M7FIymdbAwC5lMnONLhNoeQyooqVcGDSdmtpd9PCL1BUHUxmA7TzMpqoOD+tAWxsY2KXZ2UfK\n7N+tmZmHY6gIjVTuy7y/f1R79gwEG/A8rAMdiQHYzsJsquoR7mhrDMB2Fr7Mq0e4o63VMwCL9sWX\nefXackB1Ij2u56b3qid/XvOJq7RuaFDb0zviLgsxqHUAFu1tZGSDcrnRkj73nRoeTsVYVWtquwHV\nifS4Do09qqfyZ5b2bU306tbRBwh4oANkMnOamjpY9GW+Pugv83oHVNsu3FOr+zRz+vhl+zeu6tP+\nU7kVvz8AtJKOmS3Tkz9fdn93nj43ALig7cJ9PlG+5IUEo+UAcEHbhfu6oUFtTfResm9Loldrh7bF\nVBEAtJ6263OXFgdVn59+XN35c1pIdGnt0DYGUwEEqWMGVAGgHUQ1ZZtwR9thASiEKsop24Q72kon\nLgCFzhHllO2OmQqJMLAAFELWClO2K4a7maXM7IiZHTWzK15PmNknzSxvZl+ItkSEiAWgELJWmLK9\nbLibWZekaUkpSR+VtNnMPnKF48YlzUiq+fIBnYcFoBCyVpiyXenM/XZJx9z9DXc/K2mfpHvKHDcs\n6fuSfhdxfQgUqzkidMevvkopXa3P62p97k9W67Ymr39VaVXINZJOFG2flHRH8QFmtkaLgf85SZ+U\nxKgpKmI1R4TqwkyZF4pnypwtf6XaSMvOljGzL0pKufvfFba3SrrD3YeLjvl3SRPu/pKZ/auk/3T3\nH5R5L2bLAAhe1Isb1jtbptKZ+5uSbijavkGLZ+/FPiFpn5lJ0mpJG83srLs/U/pm6XR66edkMqlk\nMllrvQDQ0lY6UyabzSqbza64jkpn7glJr0taK+ktST+TtNndD1/h+O9o8cz9h2Ve48wdQPBa5cx9\n2QFVd89LGpJ0QNJrkv7N3Q+b2aCZDdZcJQAErhVmykjcoQqgBMtCrFyUixuy/ACAFWNZiNbD8gMA\nVoxlIcJBuANYwrIQ4SDcASxhWYhwEO4AlrAsRDgYUG1BzFZAnDKZOU1NHSxaFmI9n78YMVsmEMxW\nAFCMcA/EwMAuzc4+Umb/bs3MPBxDRcvjKgNorEatLYMma6fZCuWuMnK5xf5aAh6IFwOqLaadZisw\nJxpoXYR7i2mn2QrtdJWBlclk5jQwsEvJZFoDA7uUyczFXRIqoFumxbTTQyza6SoD9aP7rT0xoIq6\nlZ/Zs1N79rTmlxHq026D/KFhQBVN105XGagf3W/tiXDHimzadBdhHji639oTA6oAltVOg/y4iD53\nABWxJEF8uEMVAALEwzoAAEsIdwAIEOEOAAEi3AEgQIQ7AASIcAeAABHuABAgwh0AAkS4A0CACHcA\nCBDhDgABItwBIECEOwAEiHAHgAAR7gAQIMIdAAJEuANAgAh3AAhQVeFuZikzO2JmR81sR5nXt5jZ\nK2b2qpm9YGYfi75UAEC1Kj5D1cy6JL0uaZ2kNyX9XNJmdz9cdMynJL3m7u+YWUpS2t3vLHkfnqEK\nADVq5DNUb5d0zN3fcPezkvZJuqf4AHd/0d3fKWy+JOn6WgsBAESnmnBfI+lE0fbJwr4r+bqkZ1dS\nFABgZRJVHFN1X4qZfVbS1yR9utzr6XR66edkMqlkMlntWwNAR8hms8pmsyt+n2r63O/UYh96qrD9\noKTz7j5ectzHJP1QUsrdj5V5H/rcAaBGjexzf1nSTWZ2o5m9R9J9kp4p+eUf0GKwby0X7ACA5qrY\nLePueTMbknRAUpekJ939sJkNFl7fK+mbkt4n6TEzk6Sz7n5748oG0CoymTlNTs5qYSGh7u68RkY2\naNOmu+Iuq+NV7JaJ7BfRLQMEJ5OZ0/33H1AuN7a0r79/VHv2DBDwEWlktwwAlDU5OXtJsEtSLjem\nqamDMVWECwh3AHVbWCjfszs/39XkSlCKcAdQt+7ufNn9PT3nmlwJShHuQB0ymTkNDOxSMpnWwMAu\nZTJzcZcUi5GRDervH71kX3//Tg0Pr4+pIlxQzU1MAIqUG0TM5RYDrtMGES/8/05N7db8fJd6es5p\neDjVce3QipgtA9RoYGCXZmcfKbN/t2ZmHo6hIoSM2TJAkzCIiHZAuAM1YhAR7YBw7zAMBK4cg4ho\nBwyodhAGAqPBICLaAQOqHYSBQKD9MKCKihgIBDoH4d5BGAgEOgfh3kEYCAQ6B33uHSaTmdPU1MGi\ngcD1kQ0Esq43EL16+9wJd0SCdb2BxmBAFbFiXW+gtRDuiAQzcYDWQrgjEszEAVoL4Y5IMBMHaC0M\nqCIyjZyJA3QqZssAQIDqDXcWDkNDMfcdiAfhjoZhFUogPgyoomGY+w7Eh3BHwzD3HYgP4Y6GYe47\nEB/CHQ3D3HcgPkyFREMx9z1cE+lxPTe9Vz3585pPXKV1Q4Pant4Rd1nBYZ47gKaZSI/r0Nijeip/\nZmnf1kSvbh19gICPGOHeoTh7QhxSq/s0c/r4Zfs3rurT/lO5GCoKFzcxdaALZ08zxWdPY49qQiLg\n0VA9+fNl93fnGSxvFQyotrHnpvdeclksSU/lz+j56cdjqgidYj5RPjoWEkxzbRWEexvj7AlxWTc0\nqK2J3kv2bUn0au3QtpgqQim6ZdoYZ0+Iy/b0Dk1I2jj9uLrz57SQ6NLaoW10B7aQigOqZpaS9G1J\nXZL+2d3HyxwzKWmjpP+T9FV3/0WZYxhQjVi5GQtbEr26jRkLQDAa8gxVM+uSNC0pJemjkjab2UdK\njrlb0ofd/SZJ2yQ9VmsRnSabzUbyPtvTO3Tr6APauKpP9177QW1c1dd2wR5VW4SAtriItli5Sn3u\nt0s65u5vuPtZSfsk3VNyzOclfVeS3P0lSb1mdl3klQYkyg/u9vQO7T+V09Nn3tD+U7m2CnaJP+Ji\ntMVFtMXKVQr3NZJOFG2fLOyrdMz1Ky8NAFCvSuFebSd5aX8QnesAEKNlB1TN7E5JaXdPFbYflHS+\neFDVzP5JUtbd9xW2j0j6jLu/XfJeBD4A1KERd6i+LOkmM7tR0luS7pO0ueSYZyQNSdpX+DI4Uxrs\n9RYHAKjPsuHu7nkzG5J0QItTIZ9098NmNlh4fa+7P2tmd5vZMUn/K+lvG141AGBZTVs4DADQPJEv\nP2BmKTM7YmZHzazsvDwzmyy8/oqZ3RZ1Da2iUluY2ZZCG7xqZi+Y2cfiqLMZqvlcFI77pJnlzewL\nzayvWar8+0ia2S/M7Fdmlm1yiU1Txd/HajObMbNDhbb4agxlNoWZ/YuZvW1mv1zmmNpy090j+6fF\nrptjkm6UdLWkQ5I+UnLM3ZKeLfx8h6SfRllDq/yrsi0+Jenaws+pTm6LouN+JOm/JH0x7rpj+kz0\nSvofSdcXtlfHXXeMbZGW9I8X2kHSaUmJuGtvUHv8laTbJP3yCq/XnJtRn7lz09NFFdvC3V9093cK\nmy8p3PsDqvlcSNKwpO9L+l0zi2uiatrhS5J+4O4nJcndTzW5xmappi1+K+maws/XSDrt7uUfzNvm\n3P0nkv6wzCE152bU4c5NTxdV0xbFvi7p2YZWFJ+KbWFma7T4x31h+YoQB4Oq+UzcJOn9ZvZjM3vZ\nzL7ctOqaq5q2eELSn5vZW5JekXR/k2prRTXnZtSrQnLT00VV/z+Z2WclfU3SpxtXTqyqaYtvS3rA\n3d3MTJd/RkJQTTtcLenjktZKeq+kF83sp+5+tKGVNV81bbFT0iF3T5pZv6SDZnaLu/+xwbW1qppy\nM+pwf1PSDUXbN2jxG2a5Y64v7AtNNW2hwiDqE5JS7r7cZVk7q6YtPqHFeyWkxf7VjWZ21t2faU6J\nTVFNO5yQdMrd35X0rpnNSbpFUmjhXk1b/IWkMUly95yZHZd0sxbvv+k0Nedm1N0ySzc9mdl7tHjT\nU+kf5zOSviIt3QFb9qanAFRsCzP7gKQfStrq7sdiqLFZKraFu/e5+4fc/UNa7Hf/+8CCXaru7+M/\nJP2lmXWZ2Xu1OHj2WpPrbIZq2uKIpHWSVOhfvlnSr5taZeuoOTcjPXN3bnpaUk1bSPqmpPdJeqxw\nxnrW3W+Pq+ZGqbItglfl38cRM5uR9Kqk85KecPfgwr3Kz8S3JH3HzF7R4onoN9z997EV3UBm9j1J\nn5G02sxOSHpIi110decmNzEBQIB4hioABIhwB4AAEe4AECDCHQACRLgDQIAIdwAIEOEOAAEi3AEg\nQP8PiNyakfKlwlEAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fcec6dd0f90>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.plot(points[:,0], points[:,1], 'o')\n", "plt.plot(points[hull.vertices,0], points[hull.vertices,1], 'ro')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.10" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-2-clause
idc9/law-net
vertex_metrics_experiment/chalboards/test_revised_pipeline.ipynb
1
5957
{ "cells": [ { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "The autoreload extension is already loaded. To reload it, use:\n", " %reload_ext autoreload\n" ] } ], "source": [ "top_directory = '/Users/iaincarmichael/Dropbox/Research/law/law-net/'\n", "\n", "from __future__ import division\n", "\n", "import os\n", "import sys\n", "import time\n", "from math import *\n", "import numpy as np\n", "import pandas as pd\n", "import matplotlib.pyplot as plt\n", "import igraph as ig\n", "import copy\n", "\n", "# our code\n", "sys.path.append(top_directory + 'code/')\n", "from load_data import load_and_clean_graph, case_info\n", "\n", "from make_snapshots import *\n", "from make_edge_df import *\n", "from attachment_model_inference import *\n", "from compute_ranking_metrics import *\n", "from pipeline_helper_functions import *\n", "\n", "\n", "# directory set up\n", "data_dir = top_directory + 'data/'\n", "experiment_data_dir = top_directory + 'explore/vertex_metrics_experiment/experiment_data/'\n", "\n", "court_name = 'scotus'\n", "\n", "# jupyter notebook settings\n", "%load_ext autoreload\n", "%autoreload 2\n", "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "G = load_and_clean_graph(data_dir, court_name)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Make snapshots" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "vertex_metrics = ['indegree', 's_pagerank']\n", "snapshot_year_list = np.array([year for year in range(1760, 2021) if year % 10 == 0])" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "make_snapshot_vertex_metrics took 4 seconds\n" ] } ], "source": [ "start = time.time()\n", "make_snapshot_vertex_metrics(G, snapshot_year_list, vertex_metrics,\n", " experiment_data_dir)\n", "runtime = time.time() - start\n", "print 'make_snapshot_vertex_metrics took %d seconds' % runtime" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# make edge dataframe" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "num_non_edges_to_add = len(G.es())\n", "seed_edgedf = 432" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "make_edge_df took 474 seconds\n" ] } ], "source": [ "start = time.time()\n", "make_edge_df(G, experiment_data_dir, snapshot_year_list,\n", " num_non_edges_to_add, columns_to_use, seed=seed_edgedf)\n", "runtime = time.time() - start\n", "print 'make_edge_df took %d seconds' % runtime" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# run inference" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "make_edge_df took 2 seconds\n" ] } ], "source": [ "start = time.time()\n", "LogReg = fit_logistic_regression(experiment_data_dir, columns_to_use)\n", "runtime = time.time() - start\n", "print 'make_edge_df took %d seconds' % runtime" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# compute ranking metrics" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": true }, "outputs": [], "source": [ "columns_to_use = ['indegree', 's_pagerank', 'age', 'similarity']\n", "R = 100\n", "\n", "seed_ranking = 654242" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "compute_ranking_metrics took 20 seconds for 100 test cases (0.203)\n" ] } ], "source": [ "start = time.time()\n", "test_case_rank_scores = compute_ranking_metrics(G,\n", " LogReg,\n", " columns_to_use,\n", " experiment_data_dir,\n", " snapshot_year_list,\n", " R,\n", " seed=seed_ranking)\n", "runtime = time.time() - start \n", "print 'compute_ranking_metrics took %d seconds for %d test cases (%1.3f)' % (runtime, R, runtime/R)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
CodeNeuro/notebooks
worker/notebooks/thunder/tutorials/factorization.ipynb
11
622198
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Factorization" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Factorization algorithms are useful for data-driven decomposition of spatial and temporal data, for example, to recover spatial patterns with similar temporal profiles. Here, we show how to use some of the factorization algorithms in Thunder and visualize the results." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Setup plotting\n", "--------------" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import matplotlib.pyplot as plt\n", "import seaborn as sns\n", "sns.set_context('notebook')\n", "sns.set_style('darkgrid')\n", "from thunder import Colorize\n", "image = Colorize.image" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "PCA\n", "---\n", "We start by performing PCA (principal component analysis), which finds patterns that capture most of the variance in the data. First load toy example data, and cache it to speed up repeated queries.\n" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "rawdata = tsc.loadExample('fish-series')\n", "data = rawdata.toTimeSeries().normalize()\n", "data.cache()\n", "data.dims;" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Run PCA with three components" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from thunder import PCA\n", "model = PCA(k=2).fit(data)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Fitting PCA adds two attributes to `model`: `comps`, which are the principal components, and `scores`, which are the data represented in principal component space. In this case, the input data were space-by-time, so the components are temporal basis functions, and the scores are spatial basis functions. Look at the results first by plotting the components, the temporal basis functions." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfQAAAFVCAYAAAAZlh3BAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTQAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x107ef3a10>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.plot(model.comps.T);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The scores are spatial basis functions. We can pack them into a local array and look at them as images one by one." ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(2, 76, 87, 2)" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "imgs = model.scores.pack()\n", "imgs.shape" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAaYAAAF1CAYAAACj206CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10a1d22d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "image(imgs[0,:,:,0], clim=(-0.05,0.05))" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAaYAAAF1CAYAAACj206CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nwwQAaOX/AOukspKiS5/YAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10b615310>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "image(imgs[1,:,:,0], clim=(-0.05,0.05))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Clearly there is some spatial structure to each component, but looking at them one by one can be difficult. A useful trick is to look at two components at once via a color code that converts the scores into polar coordinates. The color (hue) shows the relative amount of the two components, and the brightness shows the total amplitude." ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [], "source": [ "maps = Colorize(cmap='polar', scale=4).transform(imgs)" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAaYAAAF1CAYAAACj206CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nCJEUmpiEEEIkxf8PGkvLNOZR+EsAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10b6a4050>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "from numpy import amax\n", "image(amax(maps,2))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "To get more intuition for these colors, we can get the scores from a random subset of pixels. This will return two numbers per pixel, the projection onto the first and second principal component, and we threshold based on the norm so we are sure to retrieve pixels with at least some structure. Then we make a scatter plot of the two quantities against one another, using the same color conversion as used to generate the map." ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [], "source": [ "pts = model.scores.subset(500, thresh=0.01, stat='norm')" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfUAAAFVCAYAAAD2VHb/AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10b6acf10>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "from numpy import newaxis, squeeze\n", "clrs = Colorize(cmap='polar', scale=4).transform([pts[:,0][:,newaxis], pts[:,1][:,newaxis]]).squeeze()\n", "plt.scatter(pts[:,0],pts[:,1], c=clrs, s=75, alpha=0.7);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Recall that each of these points represents a single pixel. Another way to better understand the PCA space is to plot the time series corresponding to each of these pixels, reconstructed using the first two principal components." ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfkAAAFVCAYAAADsaJZxAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10c3413d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "from numpy import asarray\n", "recon = asarray(map(lambda x: (x[0] * model.comps[0, :] + x[1] * model.comps[1, :]).tolist(), pts))\n", "plt.gca().set_color_cycle(clrs)\n", "plt.plot(recon.T);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "NMF\n", "---\n", "Non-negative matrix factorization is an alternative decomposition. It is meant to be applied to data that are strictly positive, which is often approximately true of neural responses. Like PCA, it also returns a set of temporal and spatial basis functions, but unlike PCA, it tends to return basis functions that do not mix responses from different regions together. We can run NMF on the same data and look at the basis functions it recovers." ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from thunder import NMF\n", "model = NMF(k=3, maxIter=20).fit(data)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "After fitting, `model` will have two attributes, `h` and `w`. For these data, `h` contains the temporal basis functions, and `w` contains the spatial basis functions. Let's look at both." ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAe4AAAFVCAYAAAApGgzgAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10b441290>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.plot(model.h.T);" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [], "source": [ "imgs = model.w.pack()" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAaYAAAF1CAYAAACj206CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nhvL/APJ2JbGYYgXfAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10a4c5390>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "image(imgs[0][:,:,0])" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAaYAAAF1CAYAAACj206CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nVmATAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10d7be590>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "image(imgs[1][:,:,0])" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAaYAAAF1CAYAAACj206CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nZCSnA6EAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10b325450>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "image(imgs[2][:,:,0])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For NMF, a useful way to look at the basis functions is to encode each one as a separate color channel. We can do that using colorization with an `rgb` conversion, which simply maps the spatial basis functions directly to red, green, and blue values, and applies a global scaling factor which controls overall brightness." ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAaYAAAF1CAYAAACj206CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10e16c790>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "maps = Colorize(cmap='rgb', scale=1.0).transform(imgs)\n", "image(maps[:,:,0,:])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "One problem with this way to look at NMF components is that the scale of the different components can cause some to dominante others. We also might like more control over color assignments. The `indexed` colorization option lets you specify one color per channel, and automatically normalizes the amplitude of each one." ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAaYAAAF1CAYAAACj206CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSfH/Ajy/y6kcgz2tAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10e404050>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "maps = Colorize(cmap='indexed', colors=[ \"hotpink\", \"cornflowerblue\", \"mediumseagreen\"], scale=1).transform(imgs)\n", "image(maps[:,:,0,:])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "With these plots, it can be useful to add in a background image (for example, the mean). In this case, we also show how to select and colorize just two of the three map components against a background." ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [], "source": [ "ref = rawdata.seriesMean().pack()" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAaYAAAF1CAYAAACj206CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10e162b50>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "maps = Colorize(cmap='indexed', colors=['red', 'blue'], scale=1).transform(imgs[[0,2]], background=ref, mixing=0.5)\n", "image(maps[:,:,0,:])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "ICA\n", "---\n", "Independent component analysis is a final factorization approach. Unlike NMF, it does not require non-negative signals, but whereas PCA finds basis functions that maximize explained variance, ICA finds basis functions that maximize the non-Gaussianity of the recovered signals, and in practice, they tend to be both more distinct as well as spatially sparse." ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from thunder import ICA\n", "model = ICA(k=10,c=3).fit(data)" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfQAAAFVCAYAAAAZlh3BAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nCCGE6AD/H2sNvpskPVAdAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10c1a6910>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "sns.set_style('darkgrid')\n", "plt.plot(model.a);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Some signals will be positive and others negative. This is expected because sign is arbitrary in ICA. It is useful to look at absolute value when making maps." ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false }, "outputs": [], "source": [ "imgs = model.sigs.pack()" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAaYAAAF1CAYAAACj206CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nKKZxkXcAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10d7beb50>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "maps = Colorize(cmap='indexed', colors=['red','green', 'blue'], scale=3).transform(abs(imgs))\n", "image(maps[:,:,0,:])" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.9" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
wheeler-microfluidics/teensy-minimal-rpc
teensy_minimal_rpc/notebooks/dma-examples/Example - [BROKEN] Periodic multi-channel ADC multiple samples using DMA and PIT.ipynb
1
32444
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# __NB__ Cannot use PIT to trigger periodic DMA due to hardware bug\n", "\n", "See [here][1].\n", "\n", "Try using PDB instead??\n", "\n", "[1]: https://community.freescale.com/thread/102320#112176" ] }, { "cell_type": "code", "execution_count": 289, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import pandas as pd\n", "\n", "def get_pdb_divide_params(frequency, F_BUS=int(48e6)):\n", " mult_factor = np.array([1, 10, 20, 40])\n", " prescaler = np.arange(8)\n", "\n", " clock_divide = (pd.DataFrame([[i, m, p, m * (1 << p)]\n", " for i, m in enumerate(mult_factor) for p in prescaler],\n", " columns=['mult_', 'mult_factor', 'prescaler', 'combined'])\n", " .drop_duplicates(subset=['combined'])\n", " .sort_values('combined', ascending=True))\n", " clock_divide['clock_mod'] = (F_BUS / frequency / clock_divide.combined).astype(int)\n", " return clock_divide.loc[clock_divide.clock_mod <= 0xffff]\n" ] }, { "cell_type": "code", "execution_count": 304, "metadata": { "collapsed": true }, "outputs": [], "source": [ "PDB0_IDLY = 0x4003600C # Interrupt Delay Register\n", "PDB0_SC = 0x40036000 # Status and Control Register\n", "PDB0_MOD = 0x40036004 # Modulus Register\n", "\n", "PDB_SC_PDBEIE = 0x00020000 # Sequence Error Interrupt Enable\n", "PDB_SC_SWTRIG = 0x00010000 # Software Trigger\n", "PDB_SC_DMAEN = 0x00008000 # DMA Enable\n", "PDB_SC_PDBEN = 0x00000080 # PDB Enable\n", "PDB_SC_PDBIF = 0x00000040 # PDB Interrupt Flag\n", "PDB_SC_PDBIE = 0x00000020 # PDB Interrupt Enable.\n", "PDB_SC_CONT = 0x00000002 # Continuous Mode Enable\n", "PDB_SC_LDOK = 0x00000001 # Load OK\n", "\n", "\n", "def PDB_SC_TRGSEL(n): return (((n) & 15) << 8) # Trigger Input Source Select\n", "def PDB_SC_PRESCALER(n): return (((n) & 7) << 12) # Prescaler Divider Select\n", "def PDB_SC_MULT(n): return (((n) & 3) << 2) # Multiplication Factor\n", "def PDB_SC_LDMOD(n): return (((n) & 3) << 18) # Load Mode Select\n", "\n", "\n", "# PDB0_IDLY = 1; // the pdb interrupt happens when IDLY is equal to CNT+1\n", "proxy.mem_cpy_host_to_device(PDB0_IDLY, np.uint32(1).tostring())\n", "\n", "# software trigger enable PDB continuous\n", "PDB_CONFIG = (PDB_SC_TRGSEL(15) | PDB_SC_PDBEN | PDB_SC_CONT | PDB_SC_LDMOD(0))\n", "\n", "PDB0_SC_ = (PDB_CONFIG | PDB_SC_PRESCALER(clock_divide.prescaler) |\n", " PDB_SC_MULT(clock_divide.mult_) | \n", " PDB_SC_DMAEN | PDB_SC_LDOK) # load all new values\n", "proxy.mem_cpy_host_to_device(PDB0_SC, np.uint32(PDB0_SC_).tostring())" ] }, { "cell_type": "code", "execution_count": 310, "metadata": { "collapsed": true }, "outputs": [], "source": [ "clock_divide = get_pdb_divide_params(25).iloc[0]\n", "# PDB0_MOD = (uint16_t)(mod-1);\n", "proxy.mem_cpy_host_to_device(PDB0_MOD, np.uint32(clock_divide.clock_mod).tostring())\n", "\n", "PDB0_SC_ = (PDB_CONFIG | PDB_SC_PRESCALER(clock_divide.prescaler) |\n", " PDB_SC_DMAEN | PDB_SC_MULT(clock_divide.mult_) |\n", " PDB_SC_SWTRIG) # start the counter!\n", "proxy.mem_cpy_host_to_device(PDB0_SC, np.uint32(PDB0_SC_).tostring())" ] }, { "cell_type": "code", "execution_count": 311, "metadata": { "collapsed": true }, "outputs": [], "source": [ "PDB0_SC_ = 0\n", "proxy.mem_cpy_host_to_device(PDB0_SC, np.uint32(PDB0_SC_).tostring())" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Overview #\n", "\n", "Use linked DMA channels to perform \"scan\" across multiple ADC input channels.\n", "\n", "After each scan, use DMA scatter chain to write the converted ADC values to a\n", "separate output array for each ADC channel. The length of the output array to\n", "allocate for each ADC channel is determined by the `sample_count` in the\n", "example below.\n", "\n", "See diagram below.\n", " \n", " \n", "## Channel configuration ## \n", "\n", " - DMA channel $i$ copies conesecutive `SC1A` configurations to the ADC `SC1A`\n", " register. Each `SC1A` configuration selects an analog input channel.\n", " * Channel $i$ is initially triggered by software trigger\n", " (i.e., `DMA_SSRT = i`), starting the ADC conversion for the first ADC\n", " channel configuration.\n", " * Loading of subsequent ADC channel configurations is triggered through\n", " minor loop linking of DMA channel $ii$ to DMA channel $i$.\n", " - DMA channel $ii$ is triggered by ADC conversion complete (i.e., `COCO`), and\n", " copies the output result of the ADC to consecutive locations in the result\n", " array.\n", " * Channel $ii$ has minor loop link set to channel $i$, which triggers the\n", " loading of the next channel `SC1A` configuration to be loaded immediately\n", " after the current ADC result has been copied to the result array.\n", " - After $n$ triggers of channel $i$, the result array contains $n$ ADC results,\n", " one result per channel in the `SC1A` table.\n", " * **N.B.,** Only the trigger for the *first* ADC channel is an explicit\n", " software trigger. All remaining triggers occur through minor-loop DMA\n", " channel linking from channel $ii$ to channel $i$.\n", " - After each scan through all ADC channels is complete, the ADC readings are\n", " scattered using the selected \"scatter\" DMA channel through a major-loop link\n", " between DMA channel $ii$ and the \"scatter\" channel.\n", "\n", "<img src=\"multi-channel_ADC_multi-samples_using_DMA.jpg\" style=\"max-height: 600px\" />" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Device" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Connect to device" ] }, { "cell_type": "code", "execution_count": 260, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import arduino_helpers.hardware.teensy as teensy\n", "from arduino_rpc.protobuf import resolve_field_values\n", "from teensy_minimal_rpc import SerialProxy\n", "import teensy_minimal_rpc.DMA as DMA\n", "import teensy_minimal_rpc.ADC as ADC\n", "import teensy_minimal_rpc.SIM as SIM\n", "import teensy_minimal_rpc.PIT as PIT\n", "\n", "\n", "# Disconnect from existing proxy (if available)\n", "try:\n", " del proxy\n", "except NameError:\n", " pass\n", "\n", "proxy = SerialProxy()\n", "proxy.pin_mode(teensy.LED_BUILTIN, 1)" ] }, { "cell_type": "code", "execution_count": 261, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th>parent_name</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>full_name</th>\n", " <td>USBDCD</td>\n", " <td>SPI0</td>\n", " <td>SPI1</td>\n", " <td>RTC</td>\n", " <td>FTM1</td>\n", " <td>FTM0</td>\n", " <td>I2S</td>\n", " <td>DMAMUX</td>\n", " <td>CRC</td>\n", " <td>FTFL</td>\n", " <td>ADC0</td>\n", " <td>FLEXCAN0</td>\n", " <td>PIT</td>\n", " <td>PDB</td>\n", " </tr>\n", " <tr>\n", " <th>value</th>\n", " <td>False</td>\n", " <td>False</td>\n", " <td>False</td>\n", " <td>True</td>\n", " <td>True</td>\n", " <td>True</td>\n", " <td>False</td>\n", " <td>True</td>\n", " <td>False</td>\n", " <td>True</td>\n", " <td>True</td>\n", " <td>False</td>\n", " <td>False</td>\n", " <td>True</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ "parent_name \\\n", "full_name USBDCD SPI0 SPI1 RTC FTM1 FTM0 I2S DMAMUX CRC \n", "value False False False True True True False True False \n", "\n", "parent_name \n", "full_name FTFL ADC0 FLEXCAN0 PIT PDB \n", "value True True False False True " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "from IPython.display import display\n", "\n", "proxy.update_sim_SCGC6(SIM.R_SCGC6(PDB=True))\n", "sim_scgc6 = SIM.R_SCGC6.FromString(proxy.read_sim_SCGC6().tostring())\n", "display(resolve_field_values(sim_scgc6)[['full_name', 'value']].T)\n", "\n", "# proxy.update_pit_registers(PIT.Registers(MCR=PIT.R_MCR(MDIS=False)))\n", "# pit_registers = PIT.Registers.FromString(proxy.read_pit_registers().tostring())\n", "# display(resolve_field_values(pit_registers)[['full_name', 'value']].T)" ] }, { "cell_type": "code", "execution_count": 266, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import numpy as np\n", "\n", "# CORE_PIN13_PORTSET = CORE_PIN13_BITMASK;\n", "# CORE_PIN13_PORTCLEAR = CORE_PIN13_BITMASK;\n", "\n", "#define CORE_PIN13_PORTCLEAR\tGPIOC_PCOR\n", "#define CORE_PIN13_PORTSET\tGPIOC_PSOR\n", "#define GPIOC_PCOR\t\t(*(volatile uint32_t *)0x400FF088) // Port Clear Output Register\n", "#define GPIOC_PSOR\t\t(*(volatile uint32_t *)0x400FF084) // Port Set Output Register\n", "\n", "CORE_PIN13_BIT = 5\n", "GPIOC_PCOR = 0x400FF088 # Port Clear Output Register\n", "GPIOC_PSOR = 0x400FF084 # Port Set Output Register\n", "\n", "proxy.mem_cpy_host_to_device(GPIOC_PSOR, np.uint32(1 << CORE_PIN13_BIT).tostring())" ] }, { "cell_type": "code", "execution_count": 299, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0" ] }, "execution_count": 299, "metadata": {}, "output_type": "execute_result" } ], "source": [ "proxy.update_dma_mux_chcfg(0, DMA.MUX_CHCFG(ENBL=1, TRIG=0, SOURCE=48))\n", "proxy.update_dma_registers(DMA.Registers(SERQ=0))" ] }, { "cell_type": "code", "execution_count": 298, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0" ] }, "execution_count": 298, "metadata": {}, "output_type": "execute_result" } ], "source": [ "proxy.update_dma_registers(DMA.Registers(CERQ=0))" ] }, { "cell_type": "code", "execution_count": 117, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>full_name</th>\n", " <th>value</th>\n", " </tr>\n", " <tr>\n", " <th>parent_name</th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th></th>\n", " <td>SOURCE</td>\n", " <td>54</td>\n", " </tr>\n", " <tr>\n", " <th></th>\n", " <td>TRIG</td>\n", " <td>True</td>\n", " </tr>\n", " <tr>\n", " <th></th>\n", " <td>ENBL</td>\n", " <td>True</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " full_name value\n", "parent_name \n", " SOURCE 54\n", " TRIG True\n", " ENBL True" ] }, "execution_count": 117, "metadata": {}, "output_type": "execute_result" } ], "source": [ "resolve_field_values(DMA.MUX_CHCFG.FromString(proxy.read_dma_mux_chcfg(0).tostring()))[['full_name', 'value']]" ] }, { "cell_type": "code", "execution_count": 114, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\n", "0\n" ] }, { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th>parent_name</th>\n", " <th></th>\n", " <th></th>\n", " <th>TCTRL</th>\n", " <th>TCTRL</th>\n", " <th>TCTRL</th>\n", " <th>TFLG</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>full_name</th>\n", " <td>LDVAL</td>\n", " <td>CVAL</td>\n", " <td>TCTRL.TIE</td>\n", " <td>TCTRL.TEN</td>\n", " <td>TCTRL.CHN</td>\n", " <td>TFLG.TIF</td>\n", " </tr>\n", " <tr>\n", " <th>value</th>\n", " <td>48000000</td>\n", " <td>39153688</td>\n", " <td>False</td>\n", " <td>True</td>\n", " <td>False</td>\n", " <td>True</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ "parent_name TCTRL TCTRL TCTRL TFLG\n", "full_name LDVAL CVAL TCTRL.TIE TCTRL.TEN TCTRL.CHN TFLG.TIF\n", "value 48000000 39153688 False True False True" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "print proxy.update_pit_timer_config(0, PIT.TimerConfig(LDVAL=int(48e6)))\n", "print proxy.update_pit_timer_config(0, PIT.TimerConfig(TCTRL=PIT.R_TCTRL(TEN=True)))\n", "\n", "pit0 = PIT.TimerConfig.FromString(proxy.read_pit_timer_config(0).tostring())\n", "display(resolve_field_values(pit0)[['full_name', 'value']].T)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "1" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "PIT_LDVAL0 = 0x40037100 # Timer Load Value Register\n", "PIT_CVAL0 = 0x40037104 # Current Timer Value Register\n", "PIT_TCTRL0 = 0x40037108 # Timer Control Register\n", "proxy.mem_cpy_host_to_device(PIT_TCTRL0, np.uint32(1).tostring())\n", "proxy.mem_cpy_device_to_host(PIT_TCTRL0, 4).view('uint32')[0]" ] }, { "cell_type": "code", "execution_count": 287, "metadata": { "collapsed": true }, "outputs": [], "source": [ "proxy.digital_write(teensy.LED_BUILTIN, 0)" ] }, { "cell_type": "code", "execution_count": 273, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0" ] }, "execution_count": 273, "metadata": {}, "output_type": "execute_result" } ], "source": [ "proxy.update_dma_registers(DMA.Registers(SSRT=0))" ] }, { "cell_type": "code", "execution_count": 288, "metadata": { "collapsed": false }, "outputs": [], "source": [ "proxy.free_all()\n", "\n", "toggle_pin_addr = proxy.mem_alloc(4)\n", "proxy.mem_cpy_host_to_device(toggle_pin_addr, np.uint32(1 << CORE_PIN13_BIT).tostring())\n", "\n", "tcds_addr = proxy.mem_aligned_alloc(32, 2 * 32)\n", "hw_tcds_addr = 0x40009000\n", "tcd_addrs = [tcds_addr + 32 * i for i in xrange(2)]\n", "\n", "# Create Transfer Control Descriptor configuration for first chunk, encoded\n", "# as a Protocol Buffer message.\n", "tcd0_msg = DMA.TCD(CITER_ELINKNO=DMA.R_TCD_ITER_ELINKNO(ITER=1),\n", " BITER_ELINKNO=DMA.R_TCD_ITER_ELINKNO(ITER=1),\n", " ATTR=DMA.R_TCD_ATTR(SSIZE=DMA.R_TCD_ATTR._32_BIT,\n", " DSIZE=DMA.R_TCD_ATTR._32_BIT),\n", " NBYTES_MLNO=4,\n", " SADDR=int(toggle_pin_addr),\n", " SOFF=0,\n", " SLAST=0,\n", " DADDR=int(GPIOC_PSOR),\n", " DOFF=0,\n", "# DLASTSGA=0,\n", "# CSR=DMA.R_TCD_CSR(START=0, DONE=False, ESG=False))\n", "# proxy.update_dma_TCD(0, tcd0_msg)\n", " DLASTSGA=int(tcd_addrs[1]),\n", " CSR=DMA.R_TCD_CSR(START=0, DONE=False, ESG=True))\n", "\n", "# # Convert Protocol Buffer encoded TCD to bytes structure.\n", "tcd0 = proxy.tcd_msg_to_struct(tcd0_msg)\n", "\n", "# Create binary TCD struct for each TCD protobuf message and copy to device\n", "# memory.\n", "for i in xrange(2):\n", " tcd_i = tcd0.copy()\n", " tcd_i['DADDR'] = [GPIOC_PSOR, GPIOC_PCOR][i]\n", " tcd_i['DLASTSGA'] = tcd_addrs[(i + 1) % len(tcd_addrs)]\n", " tcd_i['CSR'] |= (1 << 4)\n", " proxy.mem_cpy_host_to_device(tcd_addrs[i], tcd_i.tostring())\n", "\n", "# Load initial TCD in scatter chain to DMA channel chosen to handle scattering.\n", "proxy.mem_cpy_host_to_device(hw_tcds_addr, tcd0.tostring())" ] }, { "cell_type": "code", "execution_count": 61, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0" ] }, "execution_count": 61, "metadata": {}, "output_type": "execute_result" } ], "source": [ "proxy.update_dma_registers(DMA.Registers(SSRT=0))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "dma_channel_scatter = 0\n", "dma_channel_i = 1\n", "dma_channel_ii = 2" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Configure ADC sample rate, etc. ###" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "\n", "# Set ADC parameters\n", "proxy.setAveraging(16, teensy.ADC_0)\n", "proxy.setResolution(16, teensy.ADC_0)\n", "proxy.setConversionSpeed(teensy.ADC_MED_SPEED, teensy.ADC_0)\n", "proxy.setSamplingSpeed(teensy.ADC_MED_SPEED, teensy.ADC_0)\n", "proxy.update_adc_registers(\n", " teensy.ADC_0,\n", " ADC.Registers(CFG2=ADC.R_CFG2(MUXSEL=ADC.R_CFG2.B)))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Pseudo-code to set DMA channel $i$ to be triggered by ADC0 conversion complete.\n", "\n", " DMAMUX0_CFGi[SOURCE] = DMAMUX_SOURCE_ADC0 // Route ADC0 as DMA channel source.\n", " DMAMUX0_CFGi[TRIG] = 0 // Disable periodic trigger.\n", " DMAMUX0_CFGi[ENBL] = 1 // Enable the DMAMUX configuration for channel.\n", " \n", " DMA_ERQ[i] = 1 // DMA request input signals and this enable request flag\n", " // must be asserted before a channel’s hardware service\n", " // request is accepted (21.3.3/394).\n", " DMA_SERQ = i // Can use memory mapped convenience register to set instead.\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Set DMA mux source for channel 0 to ADC0 ###" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "DMAMUX_SOURCE_ADC0 = 40 # from `kinetis.h`\n", "DMAMUX_SOURCE_ADC1 = 41 # from `kinetis.h`\n", "\n", "# DMAMUX0_CFGi[SOURCE] = DMAMUX_SOURCE_ADC0 // Route ADC0 as DMA channel source.\n", "# DMAMUX0_CFGi[TRIG] = 0 // Disable periodic trigger.\n", "# DMAMUX0_CFGi[ENBL] = 1 // Enable the DMAMUX configuration for channel.\n", "proxy.update_dma_mux_chcfg(dma_channel_ii,\n", " DMA.MUX_CHCFG(SOURCE=DMAMUX_SOURCE_ADC0,\n", " TRIG=False,\n", " ENBL=True))\n", "\n", "# DMA request input signals and this enable request flag\n", "# must be asserted before a channel’s hardware service\n", "# request is accepted (21.3.3/394).\n", "# DMA_SERQ = i\n", "proxy.update_dma_registers(DMA.Registers(SERQ=dma_channel_ii))\n", "proxy.enableDMA(teensy.ADC_0)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "proxy.DMA_registers().loc['']" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "dmamux = DMA.MUX_CHCFG.FromString(proxy.read_dma_mux_chcfg(dma_channel_ii).tostring())\n", "resolve_field_values(dmamux)[['full_name', 'value']]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "adc0 = ADC.Registers.FromString(proxy.read_adc_registers(teensy.ADC_0).tostring())\n", "resolve_field_values(adc0)[['full_name', 'value']].loc[['CFG2', 'SC1A', 'SC3']]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Analog channel list ###\n", "\n", " - List of channels to sample.\n", " - Map channels from Teensy references (e.g., `A0`, `A1`, etc.) to the Kinetis analog\n", " pin numbers using the `adc.CHANNEL_TO_SC1A_ADC0` mapping." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import re\n", "\n", "import numpy as np\n", "import pandas as pd\n", "import arduino_helpers.hardware.teensy.adc as adc\n", "\n", "# The number of samples to record for each ADC channel.\n", "sample_count = 10\n", "\n", "teensy_analog_channels = ['A0', 'A1', 'A0', 'A3', 'A0']\n", "sc1a_pins = pd.Series(dict([(v, adc.CHANNEL_TO_SC1A_ADC0[getattr(teensy, v)])\n", " for v in dir(teensy) if re.search(r'^A\\d+', v)]))\n", "channel_sc1as = np.array(sc1a_pins[teensy_analog_channels].tolist(), dtype='uint32')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Allocate and initialize device arrays ###\n", "\n", " - `SD1A` register configuration for each ADC channel in the `channel_sc1as` list.\n", " * Copy `channel_sc1as` list to device.\n", " - ADC result array\n", " * Initialize to zero." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "proxy.free_all()\n", "\n", "N = np.dtype('uint16').itemsize * channel_sc1as.size\n", "\n", "# Allocate source array\n", "adc_result_addr = proxy.mem_alloc(N)\n", "\n", "# Fill result array with zeros\n", "proxy.mem_fill_uint8(adc_result_addr, 0, N)\n", "\n", "# Copy channel SC1A configurations to device memory\n", "adc_sda1s_addr = proxy.mem_aligned_alloc_and_set(4, channel_sc1as.view('uint8'))\n", "\n", "# Allocate source array\n", "samples_addr = proxy.mem_alloc(sample_count * N)\n", "\n", "tcds_addr = proxy.mem_aligned_alloc(32, sample_count * 32)\n", "hw_tcds_addr = 0x40009000\n", "tcd_addrs = [tcds_addr + 32 * i for i in xrange(sample_count)]\n", "hw_tcd_addrs = [hw_tcds_addr + 32 * i for i in xrange(sample_count)]\n", "\n", "# Fill result array with zeros\n", "proxy.mem_fill_uint8(samples_addr, 0, sample_count * N)\n", "\n", "# Create Transfer Control Descriptor configuration for first chunk, encoded\n", "# as a Protocol Buffer message.\n", "tcd0_msg = DMA.TCD(CITER_ELINKNO=DMA.R_TCD_ITER_ELINKNO(ITER=1),\n", " BITER_ELINKNO=DMA.R_TCD_ITER_ELINKNO(ITER=1),\n", " ATTR=DMA.R_TCD_ATTR(SSIZE=DMA.R_TCD_ATTR._16_BIT,\n", " DSIZE=DMA.R_TCD_ATTR._16_BIT),\n", " NBYTES_MLNO=channel_sc1as.size * 2,\n", " SADDR=int(adc_result_addr),\n", " SOFF=2,\n", " SLAST=-channel_sc1as.size * 2,\n", " DADDR=int(samples_addr),\n", " DOFF=2 * sample_count,\n", " DLASTSGA=int(tcd_addrs[1]),\n", " CSR=DMA.R_TCD_CSR(START=0, DONE=False, ESG=True))\n", "\n", "# Convert Protocol Buffer encoded TCD to bytes structure.\n", "tcd0 = proxy.tcd_msg_to_struct(tcd0_msg)\n", "\n", "# Create binary TCD struct for each TCD protobuf message and copy to device\n", "# memory.\n", "for i in xrange(sample_count):\n", " tcd_i = tcd0.copy()\n", " tcd_i['SADDR'] = adc_result_addr\n", " tcd_i['DADDR'] = samples_addr + 2 * i\n", " tcd_i['DLASTSGA'] = tcd_addrs[(i + 1) % len(tcd_addrs)]\n", " tcd_i['CSR'] |= (1 << 4)\n", " proxy.mem_cpy_host_to_device(tcd_addrs[i], tcd_i.tostring())\n", "\n", "# Load initial TCD in scatter chain to DMA channel chosen to handle scattering.\n", "proxy.mem_cpy_host_to_device(hw_tcd_addrs[dma_channel_scatter],\n", " tcd0.tostring())\n", "\n", "print 'ADC results:', proxy.mem_cpy_device_to_host(adc_result_addr, N).view('uint16')\n", "print 'Analog pins:', proxy.mem_cpy_device_to_host(adc_sda1s_addr, len(channel_sc1as) *\n", " channel_sc1as.dtype.itemsize).view('uint32')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Configure DMA channel $i$ ###" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "ADC0_SC1A = 0x4003B000 # ADC status and control registers 1\n", "\n", "sda1_tcd_msg = DMA.TCD(CITER_ELINKNO=DMA.R_TCD_ITER_ELINKNO(ELINK=False, ITER=channel_sc1as.size),\n", " BITER_ELINKNO=DMA.R_TCD_ITER_ELINKNO(ELINK=False, ITER=channel_sc1as.size),\n", " ATTR=DMA.R_TCD_ATTR(SSIZE=DMA.R_TCD_ATTR._32_BIT,\n", " DSIZE=DMA.R_TCD_ATTR._32_BIT),\n", " NBYTES_MLNO=4,\n", " SADDR=int(adc_sda1s_addr),\n", " SOFF=4,\n", " SLAST=-channel_sc1as.size * 4,\n", " DADDR=int(ADC0_SC1A),\n", " DOFF=0,\n", " DLASTSGA=0,\n", " CSR=DMA.R_TCD_CSR(START=0, DONE=False))\n", "\n", "proxy.update_dma_TCD(dma_channel_i, sda1_tcd_msg)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Configure DMA channel $ii$ ###" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "ADC0_RA = 0x4003B010 # ADC data result register\n", "ADC0_RB = 0x4003B014 # ADC data result register\n", "\n", "\n", "tcd_msg = DMA.TCD(CITER_ELINKYES=DMA.R_TCD_ITER_ELINKYES(ELINK=True, LINKCH=1, ITER=channel_sc1as.size),\n", " BITER_ELINKYES=DMA.R_TCD_ITER_ELINKYES(ELINK=True, LINKCH=1, ITER=channel_sc1as.size),\n", " ATTR=DMA.R_TCD_ATTR(SSIZE=DMA.R_TCD_ATTR._16_BIT,\n", " DSIZE=DMA.R_TCD_ATTR._16_BIT),\n", " NBYTES_MLNO=2,\n", " SADDR=ADC0_RA,\n", " SOFF=0,\n", " SLAST=0,\n", " DADDR=int(adc_result_addr),\n", " DOFF=2,\n", " DLASTSGA=-channel_sc1as.size * 2,\n", " CSR=DMA.R_TCD_CSR(START=0, DONE=False,\n", " MAJORELINK=True,\n", " MAJORLINKCH=dma_channel_scatter))\n", "\n", "proxy.update_dma_TCD(dma_channel_ii, tcd_msg)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Trigger sample scan across selected ADC channels ###" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Clear output array to zero.\n", "proxy.mem_fill_uint8(adc_result_addr, 0, N)\n", "proxy.mem_fill_uint8(samples_addr, 0, sample_count * N)\n", "\n", "# Software trigger channel $i$ to copy *first* SC1A configuration, which\n", "# starts ADC conversion for the first channel.\n", "#\n", "# Conversions for subsequent ADC channels are triggered through minor-loop\n", "# linking from DMA channel $ii$ to DMA channel $i$ (*not* through explicit\n", "# software trigger).\n", "print 'ADC results:'\n", "for i in xrange(sample_count):\n", " proxy.update_dma_registers(DMA.Registers(SSRT=dma_channel_i))\n", "\n", " # Display converted ADC values (one value per channel in `channel_sd1as` list).\n", " print ' Iteration %s:' % i, proxy.mem_cpy_device_to_host(adc_result_addr, N).view('uint16')\n", "\n", "print ''\n", "print 'Samples by channel:'\n", "# Trigger once per chunk\n", "# for i in xrange(sample_count):\n", "# proxy.update_dma_registers(DMA.Registers(SSRT=0))\n", "device_dst_data = proxy.mem_cpy_device_to_host(samples_addr, sample_count * N)\n", "pd.DataFrame(device_dst_data.view('uint16').reshape(-1, sample_count).T,\n", " columns=teensy_analog_channels)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.9" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
antoniomezzacapo/qiskit-tutorial
community/games/game_engines/Making_your_own_hello_quantum.ipynb
1
306391
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Hello Quantum for Jupyter notebook\n", "\n", "[Hello Quantum](https://helloquantum.mybluemix.net/) is a project based on the idea of visualizing two qubit states and gates, and making them accessible to a non-specialist audience.\n", "\n", "In the *hello_quantum.py* file you'll find some tools with which the 'Hello Quantum' visualizations and puzzles can be implemented in Jupyter notebooks. These were used to create the puzzles in the [Hello_Qiskit](../hello_quantum.ipynb) notebook, but you can also create your own custom ones. These could then be used as part of presentations given about Qiskit, or self-study materials prepared for people learning Qiskit.\n", "\n", "To use it, import `hello_quantum` and use matplotlib magic." ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "%matplotlib notebook\n", "import hello_quantum" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The import here was very simple, because this notebook is in the same folder as the *hello_quantum.py* file. If this is not the case, you'll have to change the path. See the [Hello_Qiskit](../hello_quantum.ipynb) notebook for an example of this.\n", "\n", "Once the import has been done, you can set up and display the visualization." ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "data": { "application/javascript": [ "/* Put everything inside the global mpl namespace */\n", "window.mpl = {};\n", "\n", "\n", "mpl.get_websocket_type = function() {\n", " if (typeof(WebSocket) !== 'undefined') {\n", " return WebSocket;\n", " } else if (typeof(MozWebSocket) !== 'undefined') {\n", " return MozWebSocket;\n", " } else {\n", " alert('Your browser does not have WebSocket support.' +\n", " 'Please try Chrome, Safari or Firefox ≥ 6. ' +\n", " 'Firefox 4 and 5 are also supported but you ' +\n", " 'have to enable WebSockets in about:config.');\n", " };\n", "}\n", "\n", "mpl.figure = function(figure_id, websocket, ondownload, parent_element) {\n", " this.id = figure_id;\n", "\n", " this.ws = websocket;\n", "\n", " this.supports_binary = (this.ws.binaryType != undefined);\n", "\n", " if (!this.supports_binary) {\n", " var warnings = document.getElementById(\"mpl-warnings\");\n", " if (warnings) {\n", " warnings.style.display = 'block';\n", " warnings.textContent = (\n", " \"This browser does not support binary websocket messages. \" +\n", " \"Performance may be slow.\");\n", " }\n", " }\n", "\n", " this.imageObj = new Image();\n", "\n", " this.context = undefined;\n", " this.message = undefined;\n", " this.canvas = undefined;\n", " this.rubberband_canvas = undefined;\n", " this.rubberband_context = undefined;\n", " this.format_dropdown = undefined;\n", "\n", " this.image_mode = 'full';\n", "\n", " this.root = $('<div/>');\n", " this._root_extra_style(this.root)\n", " this.root.attr('style', 'display: inline-block');\n", "\n", " $(parent_element).append(this.root);\n", "\n", " this._init_header(this);\n", " this._init_canvas(this);\n", " this._init_toolbar(this);\n", "\n", " var fig = this;\n", "\n", " this.waiting = false;\n", "\n", " this.ws.onopen = function () {\n", " fig.send_message(\"supports_binary\", {value: fig.supports_binary});\n", " fig.send_message(\"send_image_mode\", {});\n", " if (mpl.ratio != 1) {\n", " fig.send_message(\"set_dpi_ratio\", {'dpi_ratio': mpl.ratio});\n", " }\n", " fig.send_message(\"refresh\", {});\n", " }\n", "\n", " this.imageObj.onload = function() {\n", " if (fig.image_mode == 'full') {\n", " // Full images could contain transparency (where diff images\n", " // almost always do), so we need to clear the canvas so that\n", " // there is no ghosting.\n", " fig.context.clearRect(0, 0, fig.canvas.width, fig.canvas.height);\n", " }\n", " fig.context.drawImage(fig.imageObj, 0, 0);\n", " };\n", "\n", " this.imageObj.onunload = function() {\n", " fig.ws.close();\n", " }\n", "\n", " this.ws.onmessage = this._make_on_message_function(this);\n", "\n", " this.ondownload = ondownload;\n", "}\n", "\n", "mpl.figure.prototype._init_header = function() {\n", " var titlebar = $(\n", " '<div class=\"ui-dialog-titlebar ui-widget-header ui-corner-all ' +\n", " 'ui-helper-clearfix\"/>');\n", " var titletext = $(\n", " '<div class=\"ui-dialog-title\" style=\"width: 100%; ' +\n", " 'text-align: center; padding: 3px;\"/>');\n", " titlebar.append(titletext)\n", " this.root.append(titlebar);\n", " this.header = titletext[0];\n", "}\n", "\n", "\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "\n", "mpl.figure.prototype._root_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "mpl.figure.prototype._init_canvas = function() {\n", " var fig = this;\n", "\n", " var canvas_div = $('<div/>');\n", "\n", " canvas_div.attr('style', 'position: relative; clear: both; outline: 0');\n", "\n", " function canvas_keyboard_event(event) {\n", " return fig.key_event(event, event['data']);\n", " }\n", "\n", " canvas_div.keydown('key_press', canvas_keyboard_event);\n", " canvas_div.keyup('key_release', canvas_keyboard_event);\n", " this.canvas_div = canvas_div\n", " this._canvas_extra_style(canvas_div)\n", " this.root.append(canvas_div);\n", "\n", " var canvas = $('<canvas/>');\n", " canvas.addClass('mpl-canvas');\n", " canvas.attr('style', \"left: 0; top: 0; z-index: 0; outline: 0\")\n", "\n", " this.canvas = canvas[0];\n", " this.context = canvas[0].getContext(\"2d\");\n", "\n", " var backingStore = this.context.backingStorePixelRatio ||\n", "\tthis.context.webkitBackingStorePixelRatio ||\n", "\tthis.context.mozBackingStorePixelRatio ||\n", "\tthis.context.msBackingStorePixelRatio ||\n", "\tthis.context.oBackingStorePixelRatio ||\n", "\tthis.context.backingStorePixelRatio || 1;\n", "\n", " mpl.ratio = (window.devicePixelRatio || 1) / backingStore;\n", "\n", " var rubberband = $('<canvas/>');\n", " rubberband.attr('style', \"position: absolute; left: 0; top: 0; z-index: 1;\")\n", "\n", " var pass_mouse_events = true;\n", "\n", " canvas_div.resizable({\n", " start: function(event, ui) {\n", " pass_mouse_events = false;\n", " },\n", " resize: function(event, ui) {\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " stop: function(event, ui) {\n", " pass_mouse_events = true;\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " });\n", "\n", " function mouse_event_fn(event) {\n", " if (pass_mouse_events)\n", " return fig.mouse_event(event, event['data']);\n", " }\n", "\n", " rubberband.mousedown('button_press', mouse_event_fn);\n", " rubberband.mouseup('button_release', mouse_event_fn);\n", " // Throttle sequential mouse events to 1 every 20ms.\n", " rubberband.mousemove('motion_notify', mouse_event_fn);\n", "\n", " rubberband.mouseenter('figure_enter', mouse_event_fn);\n", " rubberband.mouseleave('figure_leave', mouse_event_fn);\n", "\n", " canvas_div.on(\"wheel\", function (event) {\n", " event = event.originalEvent;\n", " event['data'] = 'scroll'\n", " if (event.deltaY < 0) {\n", " event.step = 1;\n", " } else {\n", " event.step = -1;\n", " }\n", " mouse_event_fn(event);\n", " });\n", "\n", " canvas_div.append(canvas);\n", " canvas_div.append(rubberband);\n", "\n", " this.rubberband = rubberband;\n", " this.rubberband_canvas = rubberband[0];\n", " this.rubberband_context = rubberband[0].getContext(\"2d\");\n", " this.rubberband_context.strokeStyle = \"#000000\";\n", "\n", " this._resize_canvas = function(width, height) {\n", " // Keep the size of the canvas, canvas container, and rubber band\n", " // canvas in synch.\n", " canvas_div.css('width', width)\n", " canvas_div.css('height', height)\n", "\n", " canvas.attr('width', width * mpl.ratio);\n", " canvas.attr('height', height * mpl.ratio);\n", " canvas.attr('style', 'width: ' + width + 'px; height: ' + height + 'px;');\n", "\n", " rubberband.attr('width', width);\n", " rubberband.attr('height', height);\n", " }\n", "\n", " // Set the figure to an initial 600x600px, this will subsequently be updated\n", " // upon first draw.\n", " this._resize_canvas(600, 600);\n", "\n", " // Disable right mouse context menu.\n", " $(this.rubberband_canvas).bind(\"contextmenu\",function(e){\n", " return false;\n", " });\n", "\n", " function set_focus () {\n", " canvas.focus();\n", " canvas_div.focus();\n", " }\n", "\n", " window.setTimeout(set_focus, 100);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items) {\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) {\n", " // put a spacer in here.\n", " continue;\n", " }\n", " var button = $('<button/>');\n", " button.addClass('ui-button ui-widget ui-state-default ui-corner-all ' +\n", " 'ui-button-icon-only');\n", " button.attr('role', 'button');\n", " button.attr('aria-disabled', 'false');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", "\n", " var icon_img = $('<span/>');\n", " icon_img.addClass('ui-button-icon-primary ui-icon');\n", " icon_img.addClass(image);\n", " icon_img.addClass('ui-corner-all');\n", "\n", " var tooltip_span = $('<span/>');\n", " tooltip_span.addClass('ui-button-text');\n", " tooltip_span.html(tooltip);\n", "\n", " button.append(icon_img);\n", " button.append(tooltip_span);\n", "\n", " nav_element.append(button);\n", " }\n", "\n", " var fmt_picker_span = $('<span/>');\n", "\n", " var fmt_picker = $('<select/>');\n", " fmt_picker.addClass('mpl-toolbar-option ui-widget ui-widget-content');\n", " fmt_picker_span.append(fmt_picker);\n", " nav_element.append(fmt_picker_span);\n", " this.format_dropdown = fmt_picker[0];\n", "\n", " for (var ind in mpl.extensions) {\n", " var fmt = mpl.extensions[ind];\n", " var option = $(\n", " '<option/>', {selected: fmt === mpl.default_extension}).html(fmt);\n", " fmt_picker.append(option)\n", " }\n", "\n", " // Add hover states to the ui-buttons\n", " $( \".ui-button\" ).hover(\n", " function() { $(this).addClass(\"ui-state-hover\");},\n", " function() { $(this).removeClass(\"ui-state-hover\");}\n", " );\n", "\n", " var status_bar = $('<span class=\"mpl-message\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "}\n", "\n", "mpl.figure.prototype.request_resize = function(x_pixels, y_pixels) {\n", " // Request matplotlib to resize the figure. Matplotlib will then trigger a resize in the client,\n", " // which will in turn request a refresh of the image.\n", " this.send_message('resize', {'width': x_pixels, 'height': y_pixels});\n", "}\n", "\n", "mpl.figure.prototype.send_message = function(type, properties) {\n", " properties['type'] = type;\n", " properties['figure_id'] = this.id;\n", " this.ws.send(JSON.stringify(properties));\n", "}\n", "\n", "mpl.figure.prototype.send_draw_message = function() {\n", " if (!this.waiting) {\n", " this.waiting = true;\n", " this.ws.send(JSON.stringify({type: \"draw\", figure_id: this.id}));\n", " }\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " var format_dropdown = fig.format_dropdown;\n", " var format = format_dropdown.options[format_dropdown.selectedIndex].value;\n", " fig.ondownload(fig, format);\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_resize = function(fig, msg) {\n", " var size = msg['size'];\n", " if (size[0] != fig.canvas.width || size[1] != fig.canvas.height) {\n", " fig._resize_canvas(size[0], size[1]);\n", " fig.send_message(\"refresh\", {});\n", " };\n", "}\n", "\n", "mpl.figure.prototype.handle_rubberband = function(fig, msg) {\n", " var x0 = msg['x0'] / mpl.ratio;\n", " var y0 = (fig.canvas.height - msg['y0']) / mpl.ratio;\n", " var x1 = msg['x1'] / mpl.ratio;\n", " var y1 = (fig.canvas.height - msg['y1']) / mpl.ratio;\n", " x0 = Math.floor(x0) + 0.5;\n", " y0 = Math.floor(y0) + 0.5;\n", " x1 = Math.floor(x1) + 0.5;\n", " y1 = Math.floor(y1) + 0.5;\n", " var min_x = Math.min(x0, x1);\n", " var min_y = Math.min(y0, y1);\n", " var width = Math.abs(x1 - x0);\n", " var height = Math.abs(y1 - y0);\n", "\n", " fig.rubberband_context.clearRect(\n", " 0, 0, fig.canvas.width, fig.canvas.height);\n", "\n", " fig.rubberband_context.strokeRect(min_x, min_y, width, height);\n", "}\n", "\n", "mpl.figure.prototype.handle_figure_label = function(fig, msg) {\n", " // Updates the figure title.\n", " fig.header.textContent = msg['label'];\n", "}\n", "\n", "mpl.figure.prototype.handle_cursor = function(fig, msg) {\n", " var cursor = msg['cursor'];\n", " switch(cursor)\n", " {\n", " case 0:\n", " cursor = 'pointer';\n", " break;\n", " case 1:\n", " cursor = 'default';\n", " break;\n", " case 2:\n", " cursor = 'crosshair';\n", " break;\n", " case 3:\n", " cursor = 'move';\n", " break;\n", " }\n", " fig.rubberband_canvas.style.cursor = cursor;\n", "}\n", "\n", "mpl.figure.prototype.handle_message = function(fig, msg) {\n", " fig.message.textContent = msg['message'];\n", "}\n", "\n", "mpl.figure.prototype.handle_draw = function(fig, msg) {\n", " // Request the server to send over a new figure.\n", " fig.send_draw_message();\n", "}\n", "\n", "mpl.figure.prototype.handle_image_mode = function(fig, msg) {\n", " fig.image_mode = msg['mode'];\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Called whenever the canvas gets updated.\n", " this.send_message(\"ack\", {});\n", "}\n", "\n", "// A function to construct a web socket function for onmessage handling.\n", "// Called in the figure constructor.\n", "mpl.figure.prototype._make_on_message_function = function(fig) {\n", " return function socket_on_message(evt) {\n", " if (evt.data instanceof Blob) {\n", " /* FIXME: We get \"Resource interpreted as Image but\n", " * transferred with MIME type text/plain:\" errors on\n", " * Chrome. But how to set the MIME type? It doesn't seem\n", " * to be part of the websocket stream */\n", " evt.data.type = \"image/png\";\n", "\n", " /* Free the memory for the previous frames */\n", " if (fig.imageObj.src) {\n", " (window.URL || window.webkitURL).revokeObjectURL(\n", " fig.imageObj.src);\n", " }\n", "\n", " fig.imageObj.src = (window.URL || window.webkitURL).createObjectURL(\n", " evt.data);\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", " else if (typeof evt.data === 'string' && evt.data.slice(0, 21) == \"data:image/png;base64\") {\n", " fig.imageObj.src = evt.data;\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", "\n", " var msg = JSON.parse(evt.data);\n", " var msg_type = msg['type'];\n", "\n", " // Call the \"handle_{type}\" callback, which takes\n", " // the figure and JSON message as its only arguments.\n", " try {\n", " var callback = fig[\"handle_\" + msg_type];\n", " } catch (e) {\n", " console.log(\"No handler for the '\" + msg_type + \"' message type: \", msg);\n", " return;\n", " }\n", "\n", " if (callback) {\n", " try {\n", " // console.log(\"Handling '\" + msg_type + \"' message: \", msg);\n", " callback(fig, msg);\n", " } catch (e) {\n", " console.log(\"Exception inside the 'handler_\" + msg_type + \"' callback:\", e, e.stack, msg);\n", " }\n", " }\n", " };\n", "}\n", "\n", "// from http://stackoverflow.com/questions/1114465/getting-mouse-location-in-canvas\n", "mpl.findpos = function(e) {\n", " //this section is from http://www.quirksmode.org/js/events_properties.html\n", " var targ;\n", " if (!e)\n", " e = window.event;\n", " if (e.target)\n", " targ = e.target;\n", " else if (e.srcElement)\n", " targ = e.srcElement;\n", " if (targ.nodeType == 3) // defeat Safari bug\n", " targ = targ.parentNode;\n", "\n", " // jQuery normalizes the pageX and pageY\n", " // pageX,Y are the mouse positions relative to the document\n", " // offset() returns the position of the element relative to the document\n", " var x = e.pageX - $(targ).offset().left;\n", " var y = e.pageY - $(targ).offset().top;\n", "\n", " return {\"x\": x, \"y\": y};\n", "};\n", "\n", "/*\n", " * return a copy of an object with only non-object keys\n", " * we need this to avoid circular references\n", " * http://stackoverflow.com/a/24161582/3208463\n", " */\n", "function simpleKeys (original) {\n", " return Object.keys(original).reduce(function (obj, key) {\n", " if (typeof original[key] !== 'object')\n", " obj[key] = original[key]\n", " return obj;\n", " }, {});\n", "}\n", "\n", "mpl.figure.prototype.mouse_event = function(event, name) {\n", " var canvas_pos = mpl.findpos(event)\n", "\n", " if (name === 'button_press')\n", " {\n", " this.canvas.focus();\n", " this.canvas_div.focus();\n", " }\n", "\n", " var x = canvas_pos.x * mpl.ratio;\n", " var y = canvas_pos.y * mpl.ratio;\n", "\n", " this.send_message(name, {x: x, y: y, button: event.button,\n", " step: event.step,\n", " guiEvent: simpleKeys(event)});\n", "\n", " /* This prevents the web browser from automatically changing to\n", " * the text insertion cursor when the button is pressed. We want\n", " * to control all of the cursor setting manually through the\n", " * 'cursor' event from matplotlib */\n", " event.preventDefault();\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " // Handle any extra behaviour associated with a key event\n", "}\n", "\n", "mpl.figure.prototype.key_event = function(event, name) {\n", "\n", " // Prevent repeat events\n", " if (name == 'key_press')\n", " {\n", " if (event.which === this._key)\n", " return;\n", " else\n", " this._key = event.which;\n", " }\n", " if (name == 'key_release')\n", " this._key = null;\n", "\n", " var value = '';\n", " if (event.ctrlKey && event.which != 17)\n", " value += \"ctrl+\";\n", " if (event.altKey && event.which != 18)\n", " value += \"alt+\";\n", " if (event.shiftKey && event.which != 16)\n", " value += \"shift+\";\n", "\n", " value += 'k';\n", " value += event.which.toString();\n", "\n", " this._key_event_extra(event, name);\n", "\n", " this.send_message(name, {key: value,\n", " guiEvent: simpleKeys(event)});\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype.toolbar_button_onclick = function(name) {\n", " if (name == 'download') {\n", " this.handle_save(this, null);\n", " } else {\n", " this.send_message(\"toolbar_button\", {name: name});\n", " }\n", "};\n", "\n", "mpl.figure.prototype.toolbar_button_onmouseover = function(tooltip) {\n", " this.message.textContent = tooltip;\n", "};\n", "mpl.toolbar_items = [[\"Home\", \"Reset original view\", \"fa fa-home icon-home\", \"home\"], [\"Back\", \"Back to previous view\", \"fa fa-arrow-left icon-arrow-left\", \"back\"], [\"Forward\", \"Forward to next view\", \"fa fa-arrow-right icon-arrow-right\", \"forward\"], [\"\", \"\", \"\", \"\"], [\"Pan\", \"Pan axes with left mouse, zoom with right\", \"fa fa-arrows icon-move\", \"pan\"], [\"Zoom\", \"Zoom to rectangle\", \"fa fa-square-o icon-check-empty\", \"zoom\"], [\"\", \"\", \"\", \"\"], [\"Download\", \"Download plot\", \"fa fa-floppy-o icon-save\", \"download\"]];\n", "\n", "mpl.extensions = [\"eps\", \"jpeg\", \"pdf\", \"png\", \"ps\", \"raw\", \"svg\", \"tif\"];\n", "\n", "mpl.default_extension = \"png\";var comm_websocket_adapter = function(comm) {\n", " // Create a \"websocket\"-like object which calls the given IPython comm\n", " // object with the appropriate methods. Currently this is a non binary\n", " // socket, so there is still some room for performance tuning.\n", " var ws = {};\n", "\n", " ws.close = function() {\n", " comm.close()\n", " };\n", " ws.send = function(m) {\n", " //console.log('sending', m);\n", " comm.send(m);\n", " };\n", " // Register the callback with on_msg.\n", " comm.on_msg(function(msg) {\n", " //console.log('receiving', msg['content']['data'], msg);\n", " // Pass the mpl event to the overridden (by mpl) onmessage function.\n", " ws.onmessage(msg['content']['data'])\n", " });\n", " return ws;\n", "}\n", "\n", "mpl.mpl_figure_comm = function(comm, msg) {\n", " // This is the function which gets called when the mpl process\n", " // starts-up an IPython Comm through the \"matplotlib\" channel.\n", "\n", " var id = msg.content.data.id;\n", " // Get hold of the div created by the display call when the Comm\n", " // socket was opened in Python.\n", " var element = $(\"#\" + id);\n", " var ws_proxy = comm_websocket_adapter(comm)\n", "\n", " function ondownload(figure, format) {\n", " window.open(figure.imageObj.src);\n", " }\n", "\n", " var fig = new mpl.figure(id, ws_proxy,\n", " ondownload,\n", " element.get(0));\n", "\n", " // Call onopen now - mpl needs it, as it is assuming we've passed it a real\n", " // web socket which is closed, not our websocket->open comm proxy.\n", " ws_proxy.onopen();\n", "\n", " fig.parent_element = element.get(0);\n", " fig.cell_info = mpl.find_output_cell(\"<div id='\" + id + \"'></div>\");\n", " if (!fig.cell_info) {\n", " console.error(\"Failed to find cell for figure\", id, fig);\n", " return;\n", " }\n", "\n", " var output_index = fig.cell_info[2]\n", " var cell = fig.cell_info[0];\n", "\n", "};\n", "\n", "mpl.figure.prototype.handle_close = function(fig, msg) {\n", " var width = fig.canvas.width/mpl.ratio\n", " fig.root.unbind('remove')\n", "\n", " // Update the output cell to use the data from the current canvas.\n", " fig.push_to_output();\n", " var dataURL = fig.canvas.toDataURL();\n", " // Re-enable the keyboard manager in IPython - without this line, in FF,\n", " // the notebook keyboard shortcuts fail.\n", " IPython.keyboard_manager.enable()\n", " $(fig.parent_element).html('<img src=\"' + dataURL + '\" width=\"' + width + '\">');\n", " fig.close_ws(fig, msg);\n", "}\n", "\n", "mpl.figure.prototype.close_ws = function(fig, msg){\n", " fig.send_message('closing', msg);\n", " // fig.ws.close()\n", "}\n", "\n", "mpl.figure.prototype.push_to_output = function(remove_interactive) {\n", " // Turn the data on the canvas into data in the output cell.\n", " var width = this.canvas.width/mpl.ratio\n", " var dataURL = this.canvas.toDataURL();\n", " this.cell_info[1]['text/html'] = '<img src=\"' + dataURL + '\" width=\"' + width + '\">';\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Tell IPython that the notebook contents must change.\n", " IPython.notebook.set_dirty(true);\n", " this.send_message(\"ack\", {});\n", " var fig = this;\n", " // Wait a second, then push the new image to the DOM so\n", " // that it is saved nicely (might be nice to debounce this).\n", " setTimeout(function () { fig.push_to_output() }, 1000);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items){\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) { continue; };\n", "\n", " var button = $('<button class=\"btn btn-default\" href=\"#\" title=\"' + name + '\"><i class=\"fa ' + image + ' fa-lg\"></i></button>');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", " nav_element.append(button);\n", " }\n", "\n", " // Add the status bar.\n", " var status_bar = $('<span class=\"mpl-message\" style=\"text-align:right; float: right;\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "\n", " // Add the close button to the window.\n", " var buttongrp = $('<div class=\"btn-group inline pull-right\"></div>');\n", " var button = $('<button class=\"btn btn-mini btn-primary\" href=\"#\" title=\"Stop Interaction\"><i class=\"fa fa-power-off icon-remove icon-large\"></i></button>');\n", " button.click(function (evt) { fig.handle_close(fig, {}); } );\n", " button.mouseover('Stop Interaction', toolbar_mouse_event);\n", " buttongrp.append(button);\n", " var titlebar = this.root.find($('.ui-dialog-titlebar'));\n", " titlebar.prepend(buttongrp);\n", "}\n", "\n", "mpl.figure.prototype._root_extra_style = function(el){\n", " var fig = this\n", " el.on(\"remove\", function(){\n", "\tfig.close_ws(fig, {});\n", " });\n", "}\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(el){\n", " // this is important to make the div 'focusable\n", " el.attr('tabindex', 0)\n", " // reach out to IPython and tell the keyboard manager to turn it's self\n", " // off when our div gets focus\n", "\n", " // location in version 3\n", " if (IPython.notebook.keyboard_manager) {\n", " IPython.notebook.keyboard_manager.register_events(el);\n", " }\n", " else {\n", " // location in version 2\n", " IPython.keyboard_manager.register_events(el);\n", " }\n", "\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " var manager = IPython.notebook.keyboard_manager;\n", " if (!manager)\n", " manager = IPython.keyboard_manager;\n", "\n", " // Check for shift+enter\n", " if (event.shiftKey && event.which == 13) {\n", " this.canvas_div.blur();\n", " event.shiftKey = false;\n", " // Send a \"J\" for go to next cell\n", " event.which = 74;\n", " event.keyCode = 74;\n", " manager.command_mode();\n", " manager.handle_keydown(event);\n", " }\n", "}\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " fig.ondownload(fig, null);\n", "}\n", "\n", "\n", "mpl.find_output_cell = function(html_output) {\n", " // Return the cell and output element which can be found *uniquely* in the notebook.\n", " // Note - this is a bit hacky, but it is done because the \"notebook_saving.Notebook\"\n", " // IPython event is triggered only after the cells have been serialised, which for\n", " // our purposes (turning an active figure into a static one), is too late.\n", " var cells = IPython.notebook.get_cells();\n", " var ncells = cells.length;\n", " for (var i=0; i<ncells; i++) {\n", " var cell = cells[i];\n", " if (cell.cell_type === 'code'){\n", " for (var j=0; j<cell.output_area.outputs.length; j++) {\n", " var data = cell.output_area.outputs[j];\n", " if (data.data) {\n", " // IPython >= 3 moved mimebundle to data attribute of output\n", " data = data.data;\n", " }\n", " if (data['text/html'] == html_output) {\n", " return [cell, data, j];\n", " }\n", " }\n", " }\n", " }\n", "}\n", "\n", "// Register the function which deals with the matplotlib target/channel.\n", "// The kernel may be null if the page has been refreshed.\n", "if (IPython.notebook.kernel != null) {\n", " IPython.notebook.kernel.comm_manager.register_target('matplotlib', mpl.mpl_figure_comm);\n", "}\n" ], "text/plain": [ "<IPython.core.display.Javascript object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<img src=\"data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAyAAAAMgCAYAAADbcAZoAAAgAElEQVR4nOzdd5wU9d3AcVljHkw0KhGVWOBAwYLGqLFEjSWaXCwXUaSIiFKkt9k6s1cAsWEDERBQmqIoSAcVBKSJgtI5zhZL7CJdEG53v88f516lbJmZ3+zs5/d6vV/PK3mi7O3O3nw/TDvCkztIAAAAAMAOR6h+AQAAAACyBwECAAAAwDYECAAAAADbECAAAAAAbEOAAAAAALANAQIAAADANgQIAAAAANsQIAAAAABsQ4AAAAAAsA0BAgAAAMA2BAgAAAAA2xAgAAAAAGxDgAAAAACwDQECAAAAwDYECAAAAADbECAAAAAAbEOAAAAAALANAQIAAADANgQIAAAAANsQIAAAAABsQ4AAAAAAsA0BAgAAAMA2BAgAAAAA2xAgAAAAAGxDgAAAAACwDQECAAAAwDYECAAAAADbECAAAAAAbEOAAAAAALANAQIAAADANgQIAAAAANsQIAAAAABsQ4AAAAAAsA0BAgAAAMA2BAgAAAAA2xAgAAAAAGxDgAAAAACwDQECAAAAwDYECAAAAADbECAAAAAAbEOAAAAAALANAQIAAADANgQIAAAAANsQIAAAAABsQ4AAAAAAsA0BAgAAAMA2BAgAAAAA2xAgAAAAAGxDgAAAAACwDQECAAAAwDYECAAAAADbECAAAAAAbEOAAAAAALANAQIAAADANgQIAAAAANsQIAAAAABsQ4AAAAAAsA0BAgAAAMA2BAgAAAAA2xAgAAAAAGxDgAAAAACwDQECAAAAwDYECAAAAADbECAAAAAAbEOAAAAAALANAQIAAADANgQIAAAAANsQIAAAAABsQ4AAAAAAsA0BAgAAAMA2BAgAAAAA2xAgAAAAAGxDgAAAAACwDQECAAAAwDYECAAAAADbECAAAAAAbEOAAAAAALANAQIAAADANgQIAAAAANsQIAAAAABsQ4AAAAAAsA0BAgAAAMA2BAgAAAAA2xAgAAAAAGxDgAAAAACwDQECAAAAwDYECAAAAADbECAAAAAAbEOAAAAAALANAQIAAADANgQIAAAAANsQIAAAAABsQ4AAAAAAsA0BAgAAAMA2BAgAAAAA2xAgAAAAAGxDgAAAAACwDQECAAAAwDYECADAMqd2Wymndlup/HUAAJyDAAEAWOKMXhskRyuRHK1Ezui1QfnrAQA4AwECADBdPD4a9N0sDfpuJkIAAOUIEACAqer3rjjyEUeEAADiCBAAgGkOFB/V1e9NhABANiNAAACmqN9742HjoyJCNip/vQAANQgQAEDakokPIgQAshsBAgBIS/0+yccHEQIA2YsAAQCkLJ34KI+QPkQIAGQTAgQAkJL6fTalHR9ECABkHwIEAJA0M+OjIkI2Kf+5AADWI0AAAEmxIj6IEADIHgQIACBhVsYHEQIA2YEAAQAkxI74IEIAwP0IEADAYdkZH0QIALgbAQIAOCQV8UGEAIB7ESAAgINSGR9ECAC4EwECADggJ8QHEQIA7kOAAABqaNC3WHl0ECEA4E4ECACgCifGR1yDvsXK3x8AQHoIEABAOSfHBxECAO5AgAAAxJObGfFBhABA5iNAAAAZFR9ECABkNgIEALJcJsYHEQIAmYsAAYAslsnxQYQAQGYiQAAgSzXou1l5PBAhAJB9CBAAyEJuio+KCNms/H0FABweAQIAWcaN8UGEAEDmIEAAIIu4OT6IEADIDAQIAGSJbIgPIgQAnI8AAYAskE3xQYQAgLMRIADgctkYH0QIADgXAQIALpbN8UGEAIAzESAA4FIN+m4mQIgQAHAcAgQAXIj4qBkgRAgAOAMBAgAuQ3wQIQDgZAQIALgI8UGEAIDTESAA4BIq4uO80Idy/UOfSstnvpCeE76WgdO/l5ELf5IXl2+Tl1dsk8nvbZfJ722Xl1dskxeXb5ORC3+SgdO/l54TvpaWz3wh1z/0qZwX+pAIAYAsQoAAgAvYER9/H/ipdBv3lQx/a4ss+3C37NgTkeorEo3JvtKY7I+UKf1V/D/vK41JJBqr8c/t2BORZR/uluFvbZFu476Svw/8lAgBAJciQAAgw1kVH38b8InkT/5OFhXvkp17K2JjfyQm0VjNiEh3RWNlkRJfO/dGZFHxLsmf/J1c0f8TIgQAXIIAAYAMZmZ8NPSWSN6Tn8mQN3+Ukm9+KY+C0oj5sZHoKq0UO5u/3itD3vxR8p78TBp6iRAAyFQECABkKLPi4y/5H8mDM7+XL7fsqzH0O2lVjqEvt+yTgTO+l7/kf0SEAECGIUAAIAOZER/NBn8ur63aLvtLYxKNOjM6Drai0bLXvL80Jq+t2i63Df6cCAGADEGAAEBGGZx2fHR6/isp/nqviIjS06vMWvGfofjrvdLp+a9MiJDBDvicAcC9CBAAyBjpxUerYV/I2i/2iIgc8E5Umb7iP9PaL/ZIy2e+IEIAwKEIEADICKnHxy1PfCZLSnaLiDuOeBxuxX/GxSW75eYnPiNCAMBhCBAAcLzU4uMC4yN55d1tVYbybFrxn/mVd7fJBUZyF6sTIQBgHQIEABwttfhoP/p/8uPOUleeapXsKo3G5MedpdJ+9P+IEABwAAIEABwr+fi4MPyRTF21XUTceZ1Hqiv+Xry2arv8OZz40RAiBADMR4AAgCMlHx/tRn4pW3aVSinhcdBVGo3Jlp2lcs/IL4kQAFCEAAEAx0k+Ph6e9YNEY2XPxmAdesWfefLwrB+IEABQgAABAEdJLj7OCX4oM1fvUD3TZ+ya/sEOOTvwIRECADYiQADAKfKGJhUffxvwiWz6ai/XeqSxItGYbPpqr/xtwCdECADYhAABACdIMj7+89TnsnV3JCtvr2v2Ko3EZOvuiOQ9mdgzQ8ojJG+o+u0GADIQAQIAqiUZH3cO/UJ+3hflYnMTV2k0Jrt/iUrzpxN7gjoRAgCpI0AAQKW84UnFR+thX8je/VFOu7JgRaIx2bs/Kq2HJRshw9VvRwCQQQgQAFAlyfhoMZT4sHrFI6TFUCIEAKxCgACACknGR7PBn8uefcSHHSsSjcmefVFpNvhzIgQALECAAIDNjkoyPq4e+Kls/znCNR82rtJoTLb/HJGrHkju7lhHESEAcFgECADYqHbeiKTio6n+oXz83S/c7UrBKo3E5KNvf5GmenLPCSFCAODQCBAAsEmy8dHQWyLzN+zktCuFKxKNyfwNO6Wh9/CfV+UIqZ03Qvn2BgBORYAAgA2SjY8crUSemb9FYjHiQ/WKxWIydN6WhD83IgQADo0AAQCL1c4bmXR8dBv3leq5m1VtdRv3VQoRMlL59gcATkOAAICFUomPy/p9LDv3RiTKqVeOWdFoTHbujcilRR8TIQCQJgIEACxSO2900vGRo5XIwk27uOjcgas0EpMFm3Yl9VkSIQBQEwECABZINT60id+onrNZh1l9J36TYoSMVr5dAoATECAAYLLatz2XUnxc3u8T2f1LlFOvHLyi0Zjs2huRy/sl9nwQIgQAaiJAAMBEqcZHjlYii4o59SoTVmkkJouKkzsVq0qE3Pac8u0UAFQiQADAJLWbjUk5Pto++6XquZqV5Gr77JepR0izMcq3VwBQhQABABOkEx8NvSVS/PVeiXD0I2NWaSQmxV/tTfgBhUQIAFQgQAAgTbVvH5tyfORoJdJzwteq52lWiqvHhK9T+szLI+T2scq3XwCwGwECAGmo3XxcWvHR2F8iX23dLxEuPM+4FYnG5H8/7ZfG/uQ/dyIEQDYjQAAgRenGR45WIoWvfSexGPGRqSsWi0nha9+l/PmXR0jzccq3ZwCwCwECACk4uvmEtOPjTF+JfL+jVKIESMauaCwm3+8olTN9qW0DRAiAbESAAECSzIiPHK1Euoz9SvX8zDJpdR7zVVrbQnx7Orr5BOXbNwBYjQABgCT8rvkLpsRHjlYi73y8m+d+uGCVRmKy/KPdaW8PRAiAbEGAAECCzIyPfzzyX9VzM8vkdf3Dn5oWIb9r/oLy7R0ArEKAAEACfn/nRNPiI0crkbFLtnL0w0WrNBKTMYu3mrJtxLez3985Ufl2DwBWIEAA4DB+3+IlU+PjnOCHsvuXqOqZmWXy2v1LVM4JfkiEAMBhECAAcAhmx0eOViKdnufic7eujs//z7TtpDxCWryk/HsAAGYiQADgII5p8bLp8ZGjlcgr726T/Zx+5bq1PxKTSe9uM3VbiW9/x7R4Wfn3AQDMQoAAwAEc03KSJfHRyFsi236OqJ6VWRatrbsj0shr3vZChABwIwIEAKqxKj5ytBK54+nPVc/ILIvX7UM+N327KY+QlpOUfz8AIF0ECABUcmzLVyyLjxytREYs2MLdr1y8SiMxGf7WFku2nfh2eWzLV5R/TwAgHQQIAPzq2FaTLY2PHK1EPvnuF9UzMsvi9fF3v1i2/RAhANyAAAGA3EHyh9bWx0dT/UOJxjj64fYVjcXkvJA5t+M9ZIS0mqz8ewMAqSBAAGQ9O+IjRyuRFkO/UD0bs2xadw79wtJtKb69/qE1EQIg8xAgALLaca2n2BIfOVqJDJj2vUSiHAFx+4pGY9J/2neWb09ECIBMRYAAyFrH3TXVtvjI0Upk2vs7uAA9C1ZpJCZTV223ZZuKb7/HtZ6i/PsEAIkiQABkpePbTLM1PnK0Evn8x32qZ2OWTeuzH/bZtl2VR8hdU5V/rwAgEQQIgKyjIj7ODnABejataCwmZwesuxD9YBFyfJtpyr9fAHA4BAiArHJ8m+m2x0eOViLXPPip6pmYZfP6+8BPbd3GKiJkuvLvGQAcCgECIGsc32amkvjI0bgDVjYuq++EdegIman8+wYAB0OAAMgKJ7RVFx85Won0mPC16nmYZfPqPv5rJdsaEQLA6QgQAK53QttZSuMjR+MWvNm2IjbdivdwEXJCWyIEgPMQIABczQnxkaOVyLMLf5J9pQRItqx9pTF5dsEWpdtcRYTMUv49BIDKCBAArlWn7WxHxEeOViKvrdrOM0CyaJVGYjJlpT3PAiFCAGQaAgSAK9W5Z45j4iNHK5FZa3ZwClYWrUg0JrPW7FC+3VWOkDptZyv/XgKAJ5cAAeBCddrNdVR85Ggl8sa6nTwHJItWNBqT19ftVL7d1YiQe+Yo/34CAAECwFXqtHvDcfGRo5XI/I27VM/ELBtXLBaTeRucEyBVIqTdXOXfUwDZjQAB4BpOjY8crUTeWL9TYhwByZoVjcXkDQcdAakZIW8o/74CyF4ECADXcGp85GglMmftTolyDUjWrGg0JrMdcg3IwSJE9fcVQPYiQAC4Rv0+m5QPdwcz4wMuQs+mVRqNyYwPnBkgOVqJ1O+zSfn3FUD2IkAAZLQT73tTGvTdLGf0Wi+eXOdGyLilW2V/aVT1XMyyae0rjcrYJVuVb3eHio8zeq2XBn03y4n3van8ewwguxAgADLWiffNq3La1Rm9Nogn15kRMmj2DzwHJItWaSQmj87+Qfl2d/D42CA5WsXpWEQIADsRIAAyUvX4cHqEeF/6RvVMzLJ5aRO/Ub7dHS4+qkbIPOXfawDZgQABkHHqtp9/wAvO4/+5fm/nRUjbZ79UPQ+zbF5tn/1S+XZXPT7q995wwP8/EQLATgQIgIxSt8NbCd3tymkR8q9B/1U9D7NsXv989L/Kt7uy+NhY9l3ovfGQ/7v496pu+/nKv+cA3I0AAZAx6nZYkNStduv3/nXw6nPowcsOF4Y/Uj0Ps2xeF4Y/Ur7dJRofNSKkw1vKv+8A3IsAAZARko2PGhGS4ABmpa27I6pnYpZN66ddpcq3t1S3/YoIWaD8ew/AnQgQAI5Xt+PCtB4y6JQIWVS8i2eBZMGKRmOycNMuZ8RHikf/iBAAViJAADjaSR0XmfKE82RPRbHCkDd/5Fa8WbBKIzEZ8uaPGRsfNSKk40LlvwcAuAsBAsCxTur4tinxUREhh74TkNU6Pv8/1bMxy6bV4bn/2bptWXUHuPj376SOi5T/PgDgHgQIAEc6qZO58XGwCDH7338ol/f7RPVczLJpXdbvY9vjw6pn4FREyNvKfy8AcAcCBIDjnNxpsSXxUT1Cqj+QzQ4/7SpVPRuzLF52XoBu1wM4yyOkExECIH0ECABHObnTEkvjQ3WEvLZqu+znOhDXrv2RmExZud22+GjQd7Pl8VH9zzu502LlvycAZDYCBIBjnGJTfBwoQuz6c7uN+0r1jMyyeHUd+5WN8bFePLn2PXCzIkKWKP99ASBzESAAHOGU+5faGh81I2S9LX9+U/1DjoC4eO2PxKSp/qEr46P6n38KEQIgRQQIAOVOuX+ZkvhQFSGLS3bzPBAXrkg0Jm9v3u3q+KgRIfcvVf77A0DmIUAAKHVKZ7XxoSJC8id/J9EYAeK2FY3FJDz5W9fHR80IWab89wiAzEKAAFDmlM7LHREfdkfIFf0/IUBcuKKxmFze75OsiI8aEdKZCAGQOAIEgBJOiw+7I2RR8S6eiu6iVRqJyaLiXRbHxzrx5A6SBn2LlX9PDhwhy5X/XgGQGQgQALar59D4qBioisWTO0hO77XOstfZfjRPRXfbum+U+U8/j29/pzs0Pqq/znpECIAEECAAbFWvyzuOjg+7IqSRt0S+3rqfU7FcsKKxmHy1db809FoVH2vFk+vc+KgRIV3eUf57BoCzESAAbFOvy4qMiI+aEbLWktf90MzvJcrdsDJ+RaMxeXDm91kdHzUjZIXy3zcAnIsAAWCLel3ezaj4sCNCLir4WPaXEiCZvvaVRuUv+R+ZPsSf1nONeHIzJz6IEACJIkAAWC5T46N6hJzWc43pP8fE5du4GD2DV2kkJhOXbyM+Dhoh7yr//QPAeQgQAJb6U9f3Mjo+rI6Qy/t9Ir/sj6qeo1kprl/2R+Wyfh8TH0QIgCQQIAAs45b4qDxQeXLNj5Bh87fwZPQMXJFoTJ6Zv8XUYb0iPtzznWnQd7P8qet7yn8fAXAOAgSAJdwWH5UHKk+uuRFygfGR7NwbkRh3xMqYFYvFZMeeiFxgpH/tR0V8rBZPrnvio/rPR4QAiCNAAJju1G4rXRkflQcqT+4gOa3HatN+zoEzvueWvBm0orGYDJye/p2vyuOjhzvjo/rPeWq3lcp/PwFQjwABYKpTu61ydXxUHqg8ueZFSJPAh/LNtv2cipUBKxKNyTfb9kuTwIemDOVuj4/qPy8RAoAAAWCabImPygOVJ9e8CLl7xJeqZ2tWguvuEV+aMoyf1v0D8eS6Pz6q/9yndlul/PcVAHUIEACmyLb4qDxQeXIHyWndPzDl539x+TaOgjh4RaIxeWHZVlOG8GyLj+o/PxECZC8CBEDaTu32flbGR+WBypM7SE41IUKa6pyK5dQVicbk62375bxQ6qdelQ/fWRofNd4HIgTISgQIgLSYMXS7gZkRctdwTsVy6mo97AsThu73xZObvfFR4/34NcYAZA8CBEDKzDrtyC3KI8SEI0Ljlm6VKEdBHLOi0ZiMW5r6qVfV/8af702194UIAbIKAQIgJcTHwYcpT27618Q08X8oqz/fI6URIkT1Ko3E5IPP9kgTf2qnXhEfib0/pxEhQNYgQAAkzcznX7iNmRHy18KP5bvtpUSIwlUaicm32/fLJYUfp7U9EB+JvU/xWxIDcDcCBEBSiI/EhylPbvoPZbzp8c9k7/4op2MpWNFoTPbuj8pNj3+W1nYQf+4F35vE3i8iBHA/AgRAwk7raWd8bJam3lVylW++/NM/Q/4TeFlaBcfIfaFh0l0fJF5jgASMIgkahRIyCiRoFErAKBK/0U966Q9Lx9AQaRMcLbcHJsq/A1PlWv/rcpF3uTTSNtk6THly04+QrmO/Uj2LZ+3qMvartD7/P3V9Tzy59sZHI22TXORdLtf65sq//a9Js8CLcldwtHQMDpZeoYfEpxeJXy+UgF4gQT1fAnqB+PUi0fT+0i30qNwbfEZaBsZIXuBl+ad/ulzlmydNvaskR7P+9RMhQHYgQAAk5LSeaywdopp635e/+96U2wIvSYfQ0+I3+kk4HJZwOCyGERbdyBfdyBfDCJf/94ejG/kSMgpEN/Kr/Hfd9MelRXCc3OCfKRd5l0tDrdjyCPlT1/fSev8em/OD6lk869Zjc35wfHw01IrlIu9yucE/U1oExkrX0GMS0sNiGIYYhiEhPSxBPb/Kf3c4ul72z4X0sOh6xX/v04ukffBpuS0wUf7ue0Oaet+3NkJ6rlH+ew+ANQgQAIdlRXw01IrlUt9iaRaYKL30h6sEQjKRkarKf07A6Cd3BUfLNf43pLG23rERMmz+FtUzedasZ+ZvcWx8NNbWyzW+1+Wu4Gjx60VVoiHRyEhV9T+nZ+ghaRZ4US71LjY15IkQwN0IEACHZGZ8NNSK5WrfPLkr+Fz5EY7KRydUir8O3ciXDqGn5V/+aXK2tsb0CKnX5d203s+RC39SPZu7fo1c+FNan3O9Lu+KJ9fc+DhbWyP/8k+TDsEh5QFgR3AkIv46fHqRtA6Olqt9b5oSI0QI4F4ECICDMis+zvN+IHmBSeI1BjgqOg4eIxWnfbUJjpZLfUsk3fPfzYyQZzgSYtkaOi+9Ix/mxsdm+at3sdwVHFV+OlRIVx8cicSIpveXvMAkOVf7gAgBUAMBAuCATu+1Ns0harNc4Vso7UIjyod51WGRzpGRHvogudE/Q87SNpgUIStMuSYkGuPuWOmu+B3G0r3mo16XFeLJTT8+ztI2yI3+GdI99IijjnSkEiO6bsg9weFyhW+hpBrx8ffz9F5rlf9eBGAOAgRADenFx2a50rdAuuuDMuJoR6KMX4+KBIwiuSkwRc7UNqYZIYPTjpA+L34t+0qjPCckjVUaicm+0qj0efHrNOPjHfHkDk7r8zxT2yg3+aeIXy8UXTeqXACeyeIB1S00SP7mW5BSiBAhgLsQIACqOL3XupSHqL/6lsj9ocGuCo+DxYjXGCA3+memdK571Qh5J62hNe/Jz+SHHaVESAqrNBKT73eUSt6T6T3no17n5ZJOfDTUiuVG/wzR9P6uiY5DhUin0FPyV++SNCJknfLfkwDSQ4AAKHdGivFxoXeF3Bsa5vrwONARkd76Q3Kt//Wk/1a3SoR0Xp7eE9OLPpY1n+/hYYVJrGg0Jqs/3yN/LUrvCeenpBUfm+Ua3+vSK/Sgq454JBoi7YLD5ELvCiIEyEIECADx5A6SM3qtT3qIaqRtkv8EJkkmX+NhRoiEw2HpEBoq5yX5XITKEXJKmhHSxP+hTFqxTUREIoTIQVf8vXl5xTZp4v9QWXycp70v7YNPi2FkT3gcKERCeljyApOSekBo/P0+gwgBMhYBAiCl+LjYu1x66I+KHc/syAS6kS9Bo1Bu9M+UZI6GmBkhOVqJdHjuf/LjzlIpJUJqrEg0Jj/uLJUOz/0v5fe3Ij6WSWrxsVlu9M+QgF6QsReXm03XDekeelQu8i5PIULWK//9CSB5BAiQ5ZKNj0baJrkt8LJk81GPg4nHWMfQ09LUuyq1CLl/WdoR8ufwRzJl5fbyoTvbV/w9mLxyu/w5/FH68XF/avHR1LtKOgQHlw/dqgd/J4nfMes/gZcTPhpChACZiwABsliy8XG+dyVHPRIQPxpSdm1IKhGy1JTnSNw36n/yw47SrI6QSDQmP+wolXtHpX7Uo2p8LJVU4uMa3+sc9UhA2dGQR+T8BAOeCAEyEwECZKlk4+MK30LxG/046pGgeKTdFngp4TtlVYmQTktMiZALjI/kmflbZO/+aFadllUaicne/VEZOm+LXGCkftSjSnx0WiLJxkdDrVhuC7xUPlyrHvAzQUgPi18vkst9C4kQwKUIECALndFrQ/mO+/A7+M2S658qZadcqR/sM41hhOXe0DBprK1POkJONilCcrSyO2WNX7pVItGYq2/ZWxop+/nGL92a8h2uDvR5nNxpsSQbH4219XJv8BnCI6UIKQu2XP9USeSaKiIEyCwECJBlkomPRtomaR18XvkQn+l0I1966o/IBd6VKUTIYtMiJEcrkb8P/FSmvb9dojF3hUhpJCbRWEymvb9d/j7wU1PeqyrxkTc0qc/hAu9K6Rl6mFOuTNAq+FxC14XEP5szem1Q/nsWwKERIEAWSSY+ztLWy/2hwcL1HuZFiN/oJ5d4lyUXIXlD5aROb5saITlaiVz74KcycuFPsmNPREQy82L1+GvesSciIxf+JNc+aE54VH7/T+r0dtLxcYl3qfj0IuLDJLpe9vDCsxI4ikiEAJmBAAGyRP3eicdHE22ddNWf4HoPCyIkaBTKpb7FyUdIR/MjJEcrkbMDH4o28RtZ+8UeEZGMOCoSf41rv9gj2sRv5OxAas/zOGx8dFyUdHxc6l3MxeYWCOlh6RJ6XBpr6xKOkPq9iRDAqQgQIAvE4yMRTbR10l1/jPiwMEJCRoFc5ns7yQgZLid1XGRJhMTd/MRnMmLBFvnvD/tEpOxp4U54unrl1/Hp9/tkxIItcvMTn1nyHlSNj+FJvd+X+d6WoJ5PfFgYId1Cg6RJAhESR4QAzkSAAC5Xv/fGhHfWjbX10lV/nPiwPELCEjQK5a++JUlHSN2OCy2NkLhrHvxUBkz7XlZ8/HP5qU6RaMyWO2mVRmNV/swVH/8sA6Z9L9eYeIrVod7nuh0XJh0ff/Uu/jU+1A/qblZ2JOSxhG/qUBYhG5X/HgZQFQECuFgy8XGmtlE6h54kPmyLkLLTsRJ9+nOVCOmwwJYIibvA+EjajPhSHp71g8xas0O+2rq/SjDsj8RkX2lyR0ui0bJ/pvopX19t3S+z1uyQh2f9IG1GfJn2LXSTjo8OC5KOj4u8yzntyuYI6Rx6Qs7UEv/9RoQAzkKAAC5Vv0/iO+ccbbPcHRwlXHBuf4RoxgNyjrYmqSH5KAURcqAoaT3sC/G//I08NucHGb90q7y+bqes/WKPfLttv2zfE5Fde6Oyd3+ZXXujsn1PRL7dtl/WfrFHXl+3U8Yv3SqPzflB/C9/I62HfXqVrm8AACAASURBVGFbbBwqPo5KMj7O0VZLX30A8WEzXTekTXCUJHKLXiIEcB4CBHCh5OKjRG4OTFY+jGcr3ciXLvqTCd1mtGaEvKU0QtygIj7ektp5I5J6Pxtpm6Rz6AniQ6Gb/ZOT+rzr9yFCACcgQACXqd8nsUE27irffOVDeLYzjLDcFXxOEv3b3PiQXDtvhNRtP58ISTc+2s9POj5ytM3SOjiahwwqpuuGXOWbT4QAGYYAAVwk2fi40LtCgkahcOqVM/w78FrSw3PtvBFy4n3ziJAU4+PE++alEB8l8m//FOXDN8oCJKAXyIXeFUlGyCblv6+BbEaAAC6RbHycpW2QPvpDXHTuIIYRlssSfEZI1QgZSYSkHB8jk37fLvO9zZEPBwnpYekVelDO0hK/3TgRAqhFgAAukGx85GglcmdwPPHhMLoRlj56coNU1Qh5kwhJOD7eTCk+ztI2SG99ILfbdZiQHpbmgfFJbw9ECKAGAQJkuFTi43LfIuXDNg4eIXcGkxukiJDk3qc/3vuG1M4bndL7dGdgHBedO9jlvkVECJABCBAgg6USH2dp66WvMZCjHw6X7CBVESGj5Y/3vkGEWBAfl/sWKh+wcXAh3ZA++sCkT8UiQgD7ESBAhkolPnK0EmkRHEt8OJxu5EtfY6CclcTTnomQw78vddq9IbVvey6l9+Usbb300R/g6IfDhfSw3BkYm9J2QoQA9iFAgAyUanxc6lusfLhG4hHSPDgh5WG79m3PSZ12REhFfMxNOT5ytBK5IzCB+Mggl3oTv5kDEQLYjwABMkyq8ZGjbZYu+hMc/cggupEv53tXpR4hzcZInXZzszZCqsRHszEpvw/ne1cSHxkkpIelc+gJSeYp6UQIYC8CBMggDfoWpzyMXe2bp3ygRvIB0iY4Kq3hu3azMVLnnjlZFyHl8XHPnLTiI0crkTbBkQRIBrraNy/l7YcIAaxFgAAZIp34aKgVSy/9YdF54GBGusi7PL0IuX2s1Gk7O2sipDw+2s6W2rePTevnvsi7XPkgjeSF9LD0DD0sDbXUf2826Fus/Pc+4FYECJAB0omPHK1EbvDPUj5EIzW6kS/tQ0PTHsazJULiP98JbWdJ7ebj0v552wef5uhHBvuHf1aa2xMRAliBAAEcLt34OFPbKJrxgBgc/choqTzfoEaENB8nJ7Sd5doIqYiPmabEx+W+RcoHaKRO1w3R9AHSSEv1ujkiBLAKAQI4WLrxkaOVyPX+OcqHZ6RHN/Ll3tAwU4bzsgiZ6boIif88x7eZKUc3n2DKz3dv8BmOfrjA9b45JmxfRAhgJgIEcCgz4iNHK5Gu+hNc++EChhGWpincEetAQ/rRzSfI8W3cEyFWxEdT7yrRdfXDM9IT0g3pEnrcpO2MCAHMQoAADmRWfFzsXaZ8cIY5dCNfmgUmmjasl0XI9IyPkIr4mC6/a/6CaT9Ps8CLHP1wkYu9y4gQwEEIEMBhzBwGWwef57kfLuI3+smZ2kbThvbfNX9Bjm8zLWMjpCI+ppkaH2dqG8WnFykfmmGOkB6WVoHnTdzuiBAgXQQI4CBmDoFNtHUSMgqUD80w1/X+9M9nd0OExF/vcXdNld/fOdHU13+9f7byoRnmCur50kRba+r2p3p/AWQyAgRwCLOHv3/5pwl3vnIX3QhL59BTpg/xv79zohx319SMiZAq8dHiJdNf9/2hJyXE9R+uouuG/Ms/zfTtUPV+A8hUBAjgAFYMfR1DQwgQFzKMsJytrTE/Qlq8JMe1nuL4CCmPj9ZTLImPc7Q1XHzuQiHdkA7BIZZsj6r3H0AmIkAAxawY9hpr64gPlzKMsPzDP9uSod7pERJ/XX9oPVmOafGyJa/zH/5ZBIhL6bohjbV1RAjgAAQIoJBVQ951/rnKB2VYQzfypV1ohGXD/TEtXpY/tJ7suAiJv55jW02WY1pOsuz13RMczt2vXOxa31zLtk/V+xMgkxAggCJWDnd3B0dy9ysXCxkFptwN66AR0nKSHNvKORFSHh8tX7E0Ps7UNkpQz1c+JMMaIT0sbYIjLd1OVe9XgExBgAAKWDnUNdI2SdAoVD4kw1pX+t6ydNg/puUkObblK8ojpHJ8WP16rvTNVz4kw1oBvVAaaZuIEEAxAgSwmdXD3KW+JcqHY1hLN/KleXCCK4b+RF6HXUdk7ghM4PSrLPBX72LLt1vV+xnA6QgQwEZ2DHK53H7X9QwjLJ1DT9oy/Ft9zcVh48PGa1I6h55QPhzDWlbcjvdg267q/Q3gZAQIYBO7Bri7gs9x/UcWCBkF0lArtmWQsvKuU06Jj4ZaMdd/ZIGQHpbWweds24ZV73cApyJAABvY+bfHvfSHlQ/HsMeF3hW2DVJ2RYiqWwJf6F2hfDiGPXqGHrbldzERAhwcAQJYzM74OEvbIJx+lT2u98+xdZCy6uF/NeJDwZPZr/fP5vkfWULXDTlL20CEAAoRIICF7D5v/lLfYuVDMexh9YXoB42Qu6bK7++caPq2rTI+crQSaR4YzwXoWeRSiy9EJ0KAQyNAAIuouHPQjf6ZwhGQ7NEx9LSt21d8mz6+zTRTIyT+7/ld8xfk+DbTlHx3OgSHKB+KYQ9dN+RG/wwl3x3V+yXAKQgQwAKqbluaF5jEBehZpJf+iO3bWEWETJffNX8h7W29anxMV/bd6Rl6SPlgDHuE9LDcGpik7Lujev8EOAEBAphM5YPbWgbHEiBZJGAUKdnOzIqQ+D93dPMJcnybmUq/O369UPlgDPsCpEVgrNLvjur9FKAaAQKYSPVTo+8NDRNOwcouZ2oblQ5Sx7eZKUc3n5D0th//39duPk5OaKs2Ps7UNiofimEfXTekXXCYst/TRAhAgAAmGaw8PnK0EumuP6Z8IIa9mnpXKR+kTmg7U2o3H5fwd6BqfMxS/t0537tK+VAMe3ULDVL6u7oiQgY7YP8F2I8AAdLmjPjI0UrEZ/RXPhDDXpf6ljhikDqh7ayEIqQ8Pm4f64j4yNFK5FLvYuUDMeyl6f2V/74mQpDNCBAgLc6JjxythOs/stDVvnnKt7sqEXL72IN+JyrHR522sx3z3bnKN0/5QAx7hfSw8u2u8neCCEG2IUCAlDkrPnK0zcqHYdjv7743HbDtVQxSddrOltrNxtT4bpTHR7MxUueeOY767vzd94bygRj2y9Gcsf0RIchGBAiQEqfFR4k01IqVD8Ow37X+15Vve9UHqTr3zKkSIVXio91cx313rvW9rnwYhv0aasXKt73q3x0iBNmCAAGS5rz4yNFKpJG2SfkwDPtd55+rfNs70CBVp91cqX3bcxXxcdtzjoyPHK1ErvPNVT4Mw36NtE3Kt70DfXeIEGQDAgRIijPjI0fjCEi2usb/hvJt72CDVJ12c8u/O06NjxytRK7hCEhWcsopWAf67hAhcDsCBEjCMS3tf3puMngGSPZxyjUgB1K/98by70793mqeV5KIq31vKh+GYS9dN5Rvd4dyTMtJyvd3gJUIECABtfMGS4N248STO0jq93HWYfvKQkaB8oEY9rrS95by7a668tOu8kaLJ2+oePKGSu280Y49AnKl7y3lAzHsFdTzlW93B1O/zybx5A6SBu3GSe08joTAnQgQ4DCOaz5cHpj+owycsUXyX/1aPLnOjZC++kDlAzHsdZF3ufLtrrKK+BgpJ973Zvl//uO9bzg2Qi72LlM+EMNeffSByre7A4nHR/6r38jAGVvkgek/ynHNhyvfDwJmI0CAQzjx1/iIK4uQb8ST68wI6RJ6UvlADHudq61Wvt3FVY2PeTXugnXifW9K7byRjouQc7XVygdi2Ov+0BPKt7vq4vFRUCk+4k4kQuAyBAhwEJXjY+CMLeU7hIEztkiBQyOkbWikcB1I9jCMsGNuJVoRHyOqxEf1//+J982T2nkjHBUhDbVi0XX1QzHsEdINuTs4Uvl2V1l5fEz+psq+pnKIECFwEwIEOIC6rUbUiI8aETL5W/HkOitCmgcnSIinoWcNrzFA+TaXo1WNj7rt5x/2SehOjBCv3k/5YAx7BPV8uSMwQfk2F1ceH1O+rbG/IULgVgQIUM2h4qO6ginxCHHGHX5uDkwWnQDJGt30x5Rvc/GIOCpv+CHjo/r/vm77+Y6KkG6hQcoHY9gjpIflJv9k5dtcjlYi9fuU3Smu8BDxUT1C6rYaoXw/CaSLAAEqSSY+4godFCHX+ecqH4phD8MIS7vQCKXbW5X46LAg4Zgoj5AOb8lRecMdESHtgsM4DSuLXOdT/wDPZOKDCIHbECDAr+rdNTrp+KgRIYqfdXChd4XywRj20I18yQu8omxbSzU+qv/zdTsscESE5AUmSUgPKx+MYY8LvSuU/q6OPyMnmfggQuAmBAiQm158lEfIa9+JJ1dthDTUinkWSBa5yjdfyXZW/rTmFOOj+r+nbocF4lEcIVf55ikfimGPoJ6v9OYN8fgoeu27lPc38f1VvbtGK99/AqkgQJD1Tm3zXNrxEVfkgAjpzK14s4aKW/BWjo+TOi5KOxrKI6TjQqURwq14s8f9oSeV/X6u33uDeHLTi4/qEXJqm+eU70eBZBEgyGpmxkfNCNmgZAfXPDiBC9GzgIo7YFXEx1BT4qP6v/ekjosURshm8er9lQ/HsFZID9t+B6z4tmxmfBAhyHQECLLW6feMMT0+DhYhdg5T1/vnKB+OYS3DCMu9oWEK4+Nt0yOhIkLeFk/eUCURcm/wGS5EzwLX+ebYHh9n9DI/PogQZDICBFnJyvioHiFn9LI3Qv7sfU/5gAxr6Ua+3Bp4VUl8nNxpsWVxUB4hndREyC3+V7gQPQtc4H1PSXz0syA+qkfI6feMUb5/BRJBgCDr2BEfcf1e+148uXZHyGbpoz+ofEiGtS7yLrc3PnIHWxof1f+8kzsttj1CLvIuVz4cw1q99QclR7N+e4pvtxXx8b3l+xsiBJmEAEFWadBunG3xUTNC1ts2TN0RfIHrQFxMMx6wdYgqi48ltm2/FRGyRDy5g22MkM2i6QOUD8mwRkgPy+2BF2yMj/XiyR0k/aZaHx/VI6RBu3HK97fAoRAgyBoq4qM8QqbaGyGX+d5WPiTDGrqRL3cGx9saH6fcv9T206Hif94pNkfInYFxnIblYpf53nZtfBAhyCQECLJCo/bjlcWHighpqBVLwOinfFiGNf7mW2hjfCxTdmvc8gi5f6nYFSF/8y1QPiTDGn69yNLnfzghPqpHSKP245Xvf4EDIUDgemd1eFF5fKiIkLuCozkNy4WCRqE00jbZEx+d1cVHzQhZJnZESCNtkwT0AuXDMswV0sPSOjg6K+KDCEEmIEDgak6KD7sj5GrfPOXDMsylG/nSxoYhqiw+liuPjxoR0tmeCGkTHMVpWC50lW9e1sRH9Qg5q8OLyvfHQGUECFyrSaeJjosPOyOkoVYsmvGAGIb6wRnmudS3JKvio2aELBerI+RS72LlwzLMo+uG9NUfsOT0q4r4WCee3EHSf9oPyvcvRAgyAQECV3JyfMT1n/aDeHIHyem91lk2TN0SeJUAcQnDCEt3fZBYcferyvFRz4HxYX+EbJbuoUd5KKFL6LohN/vNf25OfPs73cHxUT1CmnSaqHz/DHhyCRC40LmdX3Z8fMQNsDhCztHWcB2ISxhGWG7wz7Q2Prq849j4qP5663V5R6yMkBv8MwkQlwjpYTlHW2NRfKwVT+4gGeDg+CBC4EQECFwlk+KjZoSstWSYujs4ighxgYBRJGdqGyyMjxWOj4+aEbJCrIqQs7QN4tcLlQ/PSD8+2gRHZX18VI+Qczu/rHx/jexGgMA1mnZ9JePiw44IudS3RPnwjPToRr7cETT3AWoV8TEoo+LjwBEyyJLXf0dgAheju8BfveZdNxXfzk7ruUY8uZkVH9UjpGnXV5Tvt5G9CBC4QibHR/UIOa3nGpOHqc3SKTSYoyAZTDcK5DzvBxbFx7sZFx81I+Rd8eSaHyHnae9LSM9XPkAjNSE9LB1Dg8Ws66bcEB9ECJyCAEHGO7/7qxkfH1ZHyCXepcqHaKQaH/nSLDDRkvj4U9f3MjY+7IqQZoEXOQqSwS7xLiU+DhMh53d/Vfl+HNmHAEFGu7DHFNfEh9URck/oWY6CZKCAUSRNtHXERwI/15+6vieeXHMjpIm2lmtBMlBID0vb4AjigwiBQxEgyFhujA8rI+TP3veEW/JmFsMIy82BycSH4gi52T+ZO2JlGF035ALveybGx2rx5LorPqpHyIU9pijfryN7ECDISBf1nura+KgRIT1WmzZMtQyO5ShIhjCMsHiNAXKmttHU+Di120rXxUf1n/PUbivFk2tehJypbRBN70+EZIiQHpYWgTHmxUePeHy4d38T359e1Huq8v07sgMBgoxzSe9pro+Pigj5UTy55kXIudpqCRhFwpGQzHC9fw7x4ZAIud43R/lgjcPTdUP8eqGcq60mPogQOBgBgoySTfFhVYRc75+jfLDGoelGvtwbGibp3r2nanyscn181IyQVeLJNStCNsu9wWe4ID0DXO9LL9zL46P7B+LJHSQDsmh/E9+/XtJ7mvL9PdyNAEHGuLTvjKyLj/IImf5rhHT/wIRharPcGxrGqVgOZRhlF56b9Te4ntzsig8rI+RcbbX49UJOxXKokB6WdsH0wj2b46N6hFzad4by/T7ciwBBRrjcOzNr46N6hJxqQoRwKpazXeefa8oA7skdJKd2ez/r4uNQEZLuv/M6TsVypLJTr9IL9/LtJYvjgwiBXQgQOB7xYU2EXOefq3zQRlW6kS/tQiPEjFOvzNpOMl31odKMU7HaBYdzKpYDXetLPdwrYvV9OSo3u+OjeoRc7p2pfA6A+xAgcLQr/bOIj2oGTP9Rjso142+2N8vdwZGciuUQupEvXmOAnKOtMSU+zDldzx3MjpBztDWi6f2JEIcI6WG5OzhSUg33ykfK4vHBPqdMfP97pX+W8nkA7kKAwLGuDMwmPg6xQyiLkPTO7T9T2yDd9MeIEMUMIywho0Au8S4jPiyOkNNMipBLvEslpOdzPYgD4qNbaJCcqW0wJT7Y5xx8n3NlYLbyuQDuQYDAkYiPxHYIZkTIed4PxGf0J0IUS/e6j/L4MPG5MW5T/daq6b5H1/nmKh/As1lID4tX7yfnae8THzbtc4gQmIUAgeNcHXxd+Y7gidd/kpELt8mEZdvlxeU75KV3dsikFWX/98Xl22Xc0u0y7K2t8uhs9TuEsghJ7/kOf/UtEd3IFy5Kt59hhOW2wEuuiI+GWrGc510tl/iWy+X+xfI3/yK50r9QrvQvlL/5F8nl/sVyiW+5nOddLQ21YldEyG2BiRwFUUDXywLkr94lacbHSkfER+ErX0jfZ1dKp0fmyH0Dpkq7olelbcFLck/RK3Jv/9ek/cDp0mPIEtFf+FDZ64y/R1cHX1c+JyDzESBwlGv0N2zbEQydv1WmrNwpyz7aIyXf7JNvtpXKzr1RiURjkszaVxqTbbsj8sWW/bL+f7/IW5t2y4vLt8vjc3/KqAjh+SD2K3vex/C0hvHy+OhpT3w00jbJxb535J/B2dJKf0E6hkdI7/wnJFQ4UAoLi6SoKDGFhUUSKhwovfOfkI7hEdJKf0H+GZwtF/vekUbapoyJkIZasbQLDuN6EAWu989O6/P/U9f3bI2P8MRP5L4BU+Vf7Qrlz9c0l/rnXCYnnHSGHPV/R8sRRxyRMM+Rv5FjTzhZ/tToz3LOZTfJ3+/oLa0CY0Qb9YHlP0f8vbpGf0P5vIDMRoDAMa4Pv2nZjuDhWVvkpXd2yPKP9shnP+yTX/ZHywMiEo1JNJZcdBxsVf937dgTkeKvy6Jk1KJtlkfIn7q+l9YQemvgFeVDebbQjXzpoj8pZ6V47nrV+FhjWXyc410jNwTmSmt9gvTMf0oKCvuVB0R+Yb+kouNQMVL531VQ2E965j8lrfUJckNgrpzjXWtdhPRcI57c9CLkLG2DdA49QYTY6Fb/K2nHR+28wZbtcx6Y/qP0GLJY/tWuUM77263yhz/+qTwgank8UstzZFLRcVC1aonnyN+U/+f/O/oYyTn/Krn69p5yT9Er0m/K10QIHIkAgSP8IzzP9B3Bk6//JDNX75LN3/wi+yOx8kCImRQbia5opSjZsSciKz/dIxPf2SEPzzJ/h1A7b3CaEbJZbgu8pHw4dzvdyJeu+uPSWFufxgBdLJ5cK+Jjs/zF967cGnpNuucPkcLCskCIh4edCn6NksLCIumeP0RuDb0mf/G9K+neptiKCGmsrZeuoceIEBv8J/BSStuA1fHRb8rX0q7fZLn85o5ybJ1TKsWGx5zYSPIoyRFHHCFH/ba2nHv5zXJ772dEn1Bi+j7n+vCbyucHZCYCBMrdkP+WaTuCR2dvkdlrdsn/ftovsVhZbESTPKXK6hU/xWtfaUw2ffWLvLBsu+kRUq/Lu2lFSLPAROVDulvpRr500x+XJto6R8VHU+/7cntokvgKHqky/NsdHYc6SlLw6+vxFTwit4cmSVNvahcfHzpCUj8drom2jgixWLPAxLTio16Xd02Njwem/yjtH5gmTa/8jxz126OrDP9OUXG0pZac1vhiua37U1L4yhem7XP+EZ6nfI5A5iFAoJRZ8TFiwTZZ+eke2Vf6a3TYfJQj1RWPkZ92ReSN9bvlsTnpXTdiZoTkcTqWJfHROfSkY458NNSK5Sr/AukYflbZUY5UxQOpQ/hZucq/IO3raMyKkMbaek7HssitgUmOiY/wxE/l5o4PSZ16OWXRYdYpVVbHSK2yozG/rf17ueKW+6XXM++Yss+5If8t5fMEMgsBAmVuLFyQ9o5g8ns75Yst+0VEHHekI5kV/fVoTWkkJqs/3ysjFqR+vUjVCFmR1pD678BrYhhld2pSPbxnOsMIS4fQ02le81EWH6f3WpvW59pY2yC3hqZWOdqhOijSCZGiX4+K3BKaKo3TfB7E6b3Wiic3vQg5S9sg7YNPc3csE+h6mX/7X0vrc63XZYX8zoT46PXMO3LxjXfLb476PzmiVq0yDgiLlE7T+jWaGpx3hdwVGp/y+xJ/T28sXKB8rkDmIECgRG6/hWntCCa+s0O+3V5aNrxncHgcaMWvU1n7xV55et7WtHYIvzMhQq7yzZegUchzQtIIj3A4LHcEX0jzb+nTj49G2ia5KThd9F/vWOWkU6zSFf959MKBclNwekp30jIzQhpqxXJ74IXyIVr1IJ+JQnpYAnqBXOl7K834eCft+PCOXiN/ub5VjYu+3SB+ila9nPPl3v6vpbXPye23UPl8gcxAgMB26cTHmMXb5fMfM/+IRyIrEo1JJBqTdz/ZI0++nvypWVUj5J20IuTP3vekt/4QEZIk3cgX3ciX6/1zUh5kq8bHupQ+x4ZasdwYnCP+goddFx4HCxF/wcNyY2BO0tFXESHrxJObXoTkaCVyvX+2hPQwp2SlEB+9Qg/Jn73vphcfnZenFR+h8Zvlils7i+fI32TMaVbphkiDpn+TLo/NI0JgKQIEtsrtvyilHcHT87ZKyTe/lA/m2bSi0ZjsL43JouKf5aGZaURI5+VpnrazTtqHhgqnYyUeH15jgFziXZrWAFu/zybx5A6SM1KMjyv9C6VvwWPlw7nqQLAzRIqKiqRvwWNypX+h0gi5xLtUNL0/EZIgXTfkvuBQaZzijRrin98pacRHvynfyD/a6HLUb48275a5GSIeWudcdpP4nlubWoT0X6R83oCzESCwzc0PvJ3SjmDO2l2yvzSWdeFRfcViMflhR6k893Zy14fE3/M/3DZYTkkzQhpqxfKfwCQxjDBHQw4iHmgdQ0PkXG21SfGxPunPrYm2XtoYY6WoqOLuUdko/rO3McZJkyQu/o+/32f8GiH1+6T3cMRztdXSITi4fMBWPeQ7UUgPi64bkhd4OeXTFSvHxx9uSy0+uj7xltQ9rXFGX99hVogc9X9HS17Xx5N6D+Pv+c0PvK187oBzESCwxS0DFye9Ixg6f6t89uvpVnY/u8OpK/6gw6Uf/pzUc0TMjJAcrUQu9i6XHvqjwtGQqnQjX4JGodzonynpPqsinfi42r9AAgUPZfTF5WYrKOwngYKH5Cr/ghQiZL14ctOPkBxts9zonyEBvYCjIdXouiHdQ4/IRd7lKb+/FfGxLKX46Dfla7mmeV+pVcvEBwW6RM75V4p31Oqk9zm3DFysfP6AMxEgsNytDy5Jekfw+rpdsj/CUY+DrVgsJj/uLJXnFyd+NKRKhNy/LO0IaaRtkjyOhkg4XHHUo0PoaTnPhGdTpBofTbR10tYY8+vArX7od5r4e3K3MSbh57CYHyElcp72vrQPPl0+eKse/lWKXx+TF5iU0o0DasTH/anFR5fH58mJp56Z9Uc9DsbjOVJ+89vacmvnQQm/r0QIDoUAgaX+8/DSpHYEj87eIpu//qV8yGYdfEWjZQ9ZnLN2V9IRclzz4XLK/UtNeYjdRd53pLv+WJVBPJvoRr4EjCK5wT9LzHhCd6rx8Rffu+IreJSjHgmFSD/xFTz661PV1URIjrZZbvDPFL9emJVHQ+Lh1S00KK2jHlXjY6kc13x40vGR1/VxqeU5kqMeCTr3ilsSfpBh/LO49cElyucROAsBAss0e3hZUjuCofO2yg87Sl1/dyszVzzSVv13b8IXqFeJkE5LTImQhlqxXOefK330ByVbnhuiG/kSMgqkWeCltJ5qbkZ8XBOYL+HCAcRHkhESLhwg1wTmK4yQEmmirZVmgYkS1POzIkTiz/XorQ+Ua31z07o1dZX46LQk6fjoN+UbuTT3PuUDfaap5fHISac3SfiUrPhn8p+HlyqfS+AcBAgscfsjy5PaEUxYtl327o9yylWKKxaLyZdb9id8u97KEXKySRGSo5WdlvUv/3TxGf1dGyG6kS+GEZYWwbFpX2R+4PjYUD5YHf6f2yy3hSZLUVF23eHKLPFTsm4LylOMWQAAIABJREFUTZZEjl5ZFSE5WtlF6i0CY0XXDdeGiK4b4tX7yz/9qT2n5WCfx8mdFicdH/qEEjnjnEs55SpFHs+RUvv3x0mHB2cktc9p9vAy5fMJnIEAgenuePSdpHYEc9ftKj+diJX6ikZjsmNPREYtSuy6kPhndGLz4XJyp8WmRUiOVvYk6FsCr4rP6F8+tKsOh3TEj+roRr60CY6SC7wrTRs8U42Ps7QNcp8xivAwQWFhkdxnjEroKfXxz+aMXhvEk2tuhORoJXKBd6W0CY4qvzYi068RiceUV+8nt/hfTeg9TjY+TkwyProPfluOrXOK65/rYbX4xfp5XR5Lap9z+yPLlc8pUI8AgamSjY+3N/+sem531YpGY/JLaUzGLNmedISc1OltUyMkRys7InKt/3W5P/RURoZI/PVqxgNya+BVOcfEIx7pxEdjbb10y3+aU65MVFDYT7qFn5bGCdyq1+oIydFK5Bxttdzif1X66g9UGeQzRfz1dgo9Jdf6XjfliEf1+Dip09tJx8f9j74uv639e673MNk/7gol9BnEP6s7Hn1H+bwCtQgQmKb5Y+8mtSNY9tEe1fO6K1f8wYUTlqUQIR3Nj5C4C70r5M7geAkahY6OkfgpVuFwWNqHhspVvvlpn6d+uPio3zvx+DhbWyc9858iPiyKkB75g+XsBK7piX9W9XtbFyE5Wtn1VVf55lW5a5ZTYyT+ugJ6gTQPjJcLvStMfz/K46PjIqnbakRS+5z2A6fLb35bW2rV8igf2N3o73f0TipCmj/2rvK5BeoQIDBFi8ffS2pHsJz4sHRFozEpjcRk/NLkIqRuqxFyUsdFlkVIjlYiZ2ob5SrffGkZHCteY0CNoV/lkY6QUSD3hobJDf6Zpl7fceD42Cie3Ir4SEQTbb30yn+S+LA4QnrlP5nUQwutjpC4c7XVcoN/ptwbfEaCer7yIyOVY0jT+0uLwFi50jdfztQ2WvLzpxMfHR6cIUce9Vviw2JX394rqQhp8fh7yucXqEGAIG0tk4yPJSWcdmXHikZjsj8Sk7FJno5Vt9UIqdtxoaURUmGzXOxdJnmBSdJVf7w8BKx8tohu5Ff5d/fRH5SWwbFylYWD08HjI/E/r7G2XnrkDyY+bIqQHvmDEzodqyJCfv1M+9izDZ2pbSgL+cAY6a0PrHIUwqooqXxNSkgPS5fQ45IXmCQXe5eJGbegTiQ+6nZcmHR8dHpkDkc+bHRdS19S+5yWREhWIkCQllZPrkxqR/Dm+t2q5/KsWtFYTPaVxmTEguQuTK/baoTU7bDApgip0EjbJBd5l8sN/lnSIjheuuqPS8goOGBEhIyC8pioLPTr/z3QEZXe+kNyd3CU3BR4TS73LZLGJt0+N6n46J18fDTSNkmX8DPEh80R0iX8TFLXLqTy2ZqlsbZOLvctkn/7p0ib4EjpFXrwoEcsgr9GSnUHuxVwUM+XLqHH5c7AOPmHf5Zc5F1u6jUdCcdHhwVS767RSe1zeg1dLr+t/Tviw2Y3d3woqX1OqydXKp9nYC8CBClr/eSqpHYEL72zg4cLKliRaEy2/RyRx+cmd4veeneNVhIhNW2Ws7W1cqF3hVzhWyjX++fIzYHJ0jz4grQIjpOWwbHSOvi8tAqOkRbBcXJncLzkBSbJjf6ZcpVvvlzsXSbnah9Ydh1HMlL7W/LN0lqfwN2uFCgsLJJW+gRJ5m/3VUZIdQ21YjlX+0Au9i6Tq3zz5Eb/DMkLTJLmgfHSIjBWWgbGSKvg89IyMEbuDIyTOwIT5Gb/ZLneP1uu8C2UC70rpIm2Nqmf32zpxIfxwkdyfN3TuduVCrVqSbt+k5Pa57R+cpXyuQb2IUCQkjZPvZ/UjmD4W1vll9KYRAkQJSsajclnP+5L+mGFZRHylgMiJPOleopObnCm8kE82+UGZyb3Wadwih1qqoiPt+TUNs8ltc/p/9q30qDp37jblSK1annkt0cfI32Gv5vUPqfNU+8rn29gDwIESbt78AdJ7Qgen/uTbN0d4TkfilcsFpOVn+5J6DOrvEM4tc1zUrf9fCIkDalepHyF/22OfDhAYWGRXOF/O7nPPIWbDKBCeXy0n590fAycsUUuu6k9DxlUzOM5Uk44uYGEJ36a1D7n7sEfKJ9zYD0CBElpO2R1UjuCB2dukf9+v4/4cNCas3ZXShFy4n3ziJAkB6gcLfVnRVzgXSV64QPlT+uGOgWFRaIXPiAXeFcl9RmmcptlVMTHiffNSyk+8ro+rnz4RplaniOl0Z+vkf5TvyNCUAUBgoTd8/SapHcECzbt5roPB61YLCaRaEyeTfCi9Mo7hNPvGUOEJDFA5Wipx0dDrZg7XjlM/M5YyV5LlMqDJrNZ5fg4/Z4xSe9zeg5dJp4jf6N88EZlteSf9xQmvc9pO2S18rkH1iFAkJB2Q9cmvSMYuXCbRDjy4bgVicbk222l8mCC14PUjJA3iZAEBqh0npJ9a+g1Tr1yoMLCIrk1NDXpz5MISe67c+J9b6YUH/2nfif1Gp7PRecO5DnyN9Jz6LKk9znthq5VPv/AGgQIDiuV+Hho5hb5dnspAeLQFYvFZOGm3Ql/nkRIcgPUGb3Wiyc3tfj4i+9djnw4WEFhP/mL792kP9fKEcJ35+DfnT/e+4Y0aDcu6X3OwBlb5Ia7w8oHbRwkQDxHSr2c8xM+FYsIcT8CBId037B1Ke0IFhX/zKlXDl/RaExGLkz8VKzKO4QG7caVDQoMUjUGqHTio6FWLD3znyJAHKygsJ/0zH8qpds6V0TIer47B/jupBMfPYYs4chHBrjh7nBK+xwixH0IEBxU+2HrU9oRjFq0jYvOM2BFojH5bntpwrfmrb5DaNR+PBFSbYBKJz5ytBLJC03h1KsMUFhYJHmh11L6jImQA3936rR7Qxq1H5/SPqf/a9/KyfXPJUAygMdzpPQYsiSlfU77YeuVz0UwDwGCA+owfENKO4KBM7bIl1v2c+pVhqxYLCZvrEvuVKzqEVKnXXZHiFnx0dT7AUc+MkhBYT9p6v0gpc+aCKn63anTbm7K8TFwxha5udPDcsQR3HI3E3g8R8oZZ1+a9Occ3zY6DN+gfD6COQgQ1NBhxMaUdwSTVuxQPVOzklixWEx+3heVQbOT+5wr7xDO6vCi1Gk3NysHKbPiI0crkbuNsQRIBiko7CdtjLEpf97ZHiGV4+OsDi+mvM8pePkzOfqY45UP1khO24KXU97ndBixUfmchPQRIKii07ObUt4RPDhji/y4s5TTrzJsRWMxWbz556Q/7xoRcs+crBqkKuJjnXhyB0mDvslfExD3F9+7nHqVgQoLi1K6ID0uWyOkPD7umSNNOk1MeZ8zcMYWua6VX2rV8igfqJG4WrU8Uve0xjJg6vcp73M6PkuEZDoCBOXuH1mc1o5gxgc7Vc/SrBTX/tKYPPn6T2lFSJNOE6VO29lZMUjFf8bTTYiPHK1EOoSf5ehHBioo7Ccdws+muS0Viyd3kJzea11WfXfqtJ2ddnyExhfLUb+trXygRmru6DM8rX1Op2c3KZ+bkDoCBOLJHSSd04yPh2dtkZ17Itz5KkNXNBqTlZ/uSemzz7YIqYiPsruypBsfl/mXKB+kkZ7L/EvS3KayI0LiP9sJbWfJuZ1fTmufM3DGFrn85o5SiwvPM1OtWvKHP9aTflO+Tmufc//IYuXzE1JDgEC6jNqc9o7g9XW7iI8MX9FoTIa8uTXtCDm388tyQttZrhykzI6PHK1EuoaHcvQjgxUU9pMu4aEmbFvxCFnr6u/OCW1nmhIfvufWcderjFdLbrn/0bT3OZ2JkIxEgGS5rqPTj4+BM7bIT7sjEiVAMnpFozFZUpLatSAHjpCZrhqk4j/LaT3XiCfXnPi4yLdC+QANc/zFt8KEbcydERL/WY5vM1Oadn3FlH3OtS28HP3IdLVqSZ16OWltC/FtqcuozcrnKSSHAMli3UaXmLIjeHE5d75yy/r5l2jSzwU52A6haddX5Pg27ogQK+IjRyuR1voEjn64QEFhP2mlv2DStlYWIaf1XOOq746Z8dFvyjfyu2PrqB+gYYr7Bkw1ZZ/TdTQRkkkIkCzV/bkPTdkRDJyxRUq++YXnfrhoTV21M+1tIr5tnd/9VTm+zfSMHqSsio/G2nrJL+yvfHiGOcKFA6Sxtp4IOWB8TJfzu79q2j7nTu9I5UMzzOHxHCnnXHaTafuc7s99qHy+QmIIkCzU4/mPTNsRDHlzK9d+uGhFYzH58qf9aW8XNSNkWkYOUjXjw7zX/+/gDG696yKFhUXy7+BME7e9zI6QiviYZmp8DJyxRU5vconU8nDrXbeoVcsj/ufXm7bP6fH8R8rnLBweAZJleo4xLz4GztgiSz/8med+uHCNWrTN1Ai5sMeUjIuQivhYLZ5cc+MjR9ssfQseI0BcpLCwSPoWPCY5mnnbSYO+ZaeUZFqExF/rcXdNlQt7TDF1n9NjyGLlAzNMDhDPkXJtC6+p+5weY4gQpyNAskjPsR+buiMYOGOL7NgTUT0rs0xekWhMln+U+i15D7ZDuKj3VDnurqkZMUiVx0cPK+KjRC7xLVc+MMMal/iWm74tenIHyWk9V2fUd+e4u6bKRb2nmr7PuapZD+5+5UJ/+GM907aT+DbXkwhxNAIkS/SyID5GLdqmelZmWbR+2hUxbTvJtAixOj5ytBJpFnqVi89dqKCwn9wWetWSbdKTO0hO6+HsCCmPj9ZTLImPgTO2yAmnNFA+LMMaPYYsMX2f03Psx8rnLxwYAZIFeo8zPz4Gztgib2/m9Cs3r+Fvpf5MkEPtEC7pPU2Oaz3FkYNUeXx0/0A8udbER45WIr3zn+D0KxcqLCyS3vlPWLZtenKdGyHx1/SH1pPlkt7TLNnn9B62QvmQDGvU8njkH210S/Y5vYgQRyJAXK7P+E8s2REMnLFFvt1WygXoLl3RWEzmb9xt+jYT3xYv7TtD/tB6sqMGKbvi43zv+8oHZVirqfd9ayOk+weO/O4c22qyXNp3hmX7nH+1K5Jatbj43K3qNTzfsn1O7/GfKJ/HUBUB4mJ9x39q2Y5gyJtbVc/ILAtXzMS7YR0qQo5t5YwIib+GUy2OjxyNu1+5ndl3w3J6hNgVHwNnlN396ohatZQPyrBOYMwGy/Y5fYgQRyFAXEqb8F9LdwRz1u7i6IfLVywWkyde/8nSCLncO1OObfmK0kGqPD66vS+eXGvjI0crkfvDw6SAAHGtgsIiuT88zPJt1pM7SE5VHCHl8dHyFbncO9PSfY7+wodSi/hwuVryn25PWLrP6Tv+U+XzGcoQIC5kdXz8f3t3Hh5VmSb83xTSjXa3vtOjv2nGdlr0tZ129G3b8ep22naccZnJtIpLM4piyyiiIigadlJVOUuFJWwJS1gSAoGEQAhJSnCjZV9kUUSUxR0XEEnc2mVcSN2/P0KFEAmk6pzzPKeqvue6Plf3OK1UVc7Jc3+ts0SijbLjXR4+mAnbwk2febYPxffRK4Ys1RYhR+JjqwSyCzx/Defm7OLhgxkgN2zKuTnuPLDyhBHy0PNaj50f375Qrhiy1PM1567cCh8MyPBSINBJLvm32zxfc3LmvaV9TgMBknYGzX/b84UgEm2Uj77g9rvpvh1qismaPV96uh+1jpAf375Q6SClOj665eyR3wzepH04hhqXDN6sZP8NZBfIWQ9t1XLs/Pj2hXLF0GVK1px/v32wBAInax+S4a2fdu2mZM0ZRIRoR4CkEVXxUbCskdOvMmBrisXk9QPfeLovHRUhQ5fJj2+rUjJI6YiPbjl75JqhT2ofjKHGNUOfUhYCgWx1EdISH7dVKYuPSLRRzr/0Gq7/yAhZElq4V02EzH9b+9yWyQiQNDF4/l5lC0H5uk91z8ZsirYvv27yfH9qvSBcOewpzyPkSHxskUC2uvjolrNHbh9RIUGe/5H2gmFDbhtRqWSfOjpCtig5dn502wK5cthTytYcu75BTvnJ3/hgOIYK941aqmzNIUL0IUDSwOAKdfERiTbK8pe/kCa+AcmYregZd58H0pEI+dFtCzwZpHTGR7ecPdI/WKR9OIYaDwUnK9uvVERI6/i4asTTStecIbN3aB+KoUZWVkD+2CeidM0ZXLFX+xyXiQiQFDe08h2lC0Ek2ig73uMC9EzaFnl4IXp7C8JVI56WH/13pauDVPyf9ff9NksgW318cAF6ZgkquBC9vQj5+36bPTl2fvTflXJ17jPK15xeI+dpH4yhKEACneTXV/VQvuYMqXhH+zyXaQiQFKYjPiLRRtn38be6Z2I2RVtTLCbPvOz+Awk7siBcnfuMaxGiOz665eyRCwdt0z4UQ60LB21Tuo95ESHxf8apPebLNbnLtaw5/3WvzQMIM8jPz79Uy5oztJIIUYkASVHDFuiJj0i0UT77ijtgZcp2qCkmG177Svk+Ft+3r8ldLqf2mO9okIr/vV0f3CSBbD3x0S1nj1w2eIP2gRhq/fPgjcr3MzcjxA/xEYk2yh9uGSCdTu6sfTCGGqf9bVdta86wBUSIKgRICtIZH5FoI6dfZdDW1BSTHe9+rWU/i+/j1wafTTpC/BIf3XL2yJVDntU+EEOtK4es0LKvtY6Qrg9ucnTsnNJjnlwbfFbrmvPrq3pIVqCT9sEYagRO7qxlXyNC1CJAUszwqne1LgTjn/xI90zMpnh7+6D3t+I90YJwXXiFnNJjXkKD1JH4eE4C2YVa46Nbzh75z2FLJcwT0DNGOJwn/zFsmbb97egIeS6pY6dLj7lyXXiF1jUnEm2Ucy76vfahGGrlVr6hdc0hQrxHgKQQ3fERiTbKzJWf6J6H2RRvH31+SNv+1jZCuvSY26FBym/x0S1nj9w8vFpC3II3Y4TChtw8fLHWfe5IhBR2OEJax0e2sVL7mhOJNspPf3aO9oEYaj08Zb32NWd41bva5750RoCkiOFV7/liIajc+JnueZhN8fbNdzGt+1zrBSHbWHnCCGmJjwc2iF/io1vOHrlzxDyeAZJBgmFD7hwxT/t+d3SEbOzQsdPl1jm+iY9ItFF+0OVH2gdiqPU/Zo0v1hwixDsESAoYsdAf8RGJNsriLX/VPQ+zKd6aYvoD5KgIMVdJl1vnHHOQiv+1n/ksPrrl7JG7R86WEKdgZYxQOE/uHjlb+373vQh5YMNxj50ut86RbHOVb9acSLRRsngCesa5c3i59v0ufgyMWPie9jkwHREgPjdy4fu+WgiWbCVAMnHTvd+1XRCut1d/L0L8HB/dcvbI/4ws5RqQDBIO50nvkaXa97tjRcjP2kRIS3zcUibX26t9teZYdQe1D8NQr+fQ2dr3vdZrDhHiPgLEx3IX+Ss+ItFGqXueAMnEbdTj+ve9tgvC9fZq6XJL2VFD1M8eWC9+jI9uOXvknpGzCJAMEg7nyT0jZ2nf704UIfG/3uWWMrkhssZ3a4655APtwzDU+++cGdr3vbZrzsiF72ufC9MJAeJTudX7fLcQRKIESKZufgqQ1gvCDZE10uXmUvnFozvl7/quFb/GBwGSefwYIG0j5O/6rpVfPLpTutxcKjfmr/XlmkOAZCY/BUjrNSd30T7t82G6IEB86NHyN3y5EESinIKVqZvu/e54C8I5vee2HDt+jY9uOZyClWn8dgrWsSPk8HHTe65v1xxOwcpMfjkF61hrzqPlb2ifE9MBAeJDQyvf0X6gtYeL0DNv88tF6O0tBuf3qWg5dvwcIFyEnln8dBH6iQLk/D4Vvg2QSJSL0DORHy5Cb8/QSp4R4gYCxKeM2g+1H2THwm14M2/zw21424oPS72nbJfTexRLbvW+5tOxupf4NkK4DW9m8ctteNuLjy7dS+SGyBrJrd4np/colnumveTbCOE2vJlH921422Ms+VD7fJguCBAfM5b4L0J4EGHmbbofRNhW6/g4o0dxy8Bk1zfIrWM2+DZCeBBhZvHDgwjbj4+Z8qexG486ds7oUSy9p2z3ZYTwIMLMo/NBhO0xlhzQPhemEwLE5/KWHNB+0LU2/smPdM/DbIq3tw9+o32/i4sPR3dPfrElPloPUXZ9g/xp7Ebp0n2m7yLkP4ct5RqQDBIO58l/DFumfb87Xny0PXb8GiHnXPR77QMx1MqtfEP7ftdaHvHhOgIkBfgtQg41xXTPxGyKtqammOx492vt+1wkemRI+nPRNjmz5/RjDknxv9Zj3CbfRciVQ57VPhRDrSuHrNC+3x0dH9Olx7hNxz12zuhRLHdPftFXEfLrq3pIVqCT9qEYagRO7uybfS8SJT68QoCkCD9FyGdfHdI9F7Mp2g41xWTDa19p3+fiw9BdhS+0Gx9t/7e3jd8sXbpP902EXDZ4g/aBGGr98+CN2ve71vFx2/jNHTp2zuw5Xe4qfME3EfKHWwZIp5M7ax+MocZpf9tV+z4XR3x4hwBJIX6JkH0ff6t7LmZTtDXFYvLMy19o3d/iQ1CvSc+fMD7a/j23+yhCLhy0TftADLUuHLTNF/HRuXux3H6C+Gh77PgpQv7rXluysgLaB2Oo8fPzL9U+50SixIfXCJAUE/ZBhOx472tOw8qgbdGmz7Tta/Hh546JW6XrnSUJDUPx/23PiVukc/di7RFybs4uCYZN7UMx1AiGTTk3Z5cv4qPnxC1JHTtn9pwuvSY9rz1Ceo2cp30ohhpZgU7y66t6aJ9zwjUfaJ/30h0BkoLCNR9oPTCXv/yFNMUIkEzZip75WMt+1jogEo2Ptv+MOyZu9UWE9A8WaR+MocZDwcm+iI87Jm51dOx0vbMk4YBx25DZO7QPxlAUIFkB+WOfiNYZh/hQgwBJUTojpHzdp7pnYjZF25dfN2nZx1qfQnVWr1JHw0/rU7gCmiPk9hEVPAskAwTDhtw2olJrfAS6Fzv+9sIvEWLXN8gpP/kb7cMx1Lhv1FJt8w3xoQ4BksJ0RUjBskaJ8Q1I2m9NsZi8fkD9LXhbX0TuND7a/jPvKnxBa4RcM/RJ7cMx1Lhm6FNa48Ot6zfi/4yzepV2+DoSL5x/6TVyEk9EzwBZElq4V8tsEyI+lCJAUlxosZ4I+egL7oSV7tuhppis2fOl0v2q9W10z767zNVhp/VtfHVFyG8Gb9I+GEONSwZv1hQfU+TPRds8OXbO6lV6wjtpeeXfbx8sgcDJPhiQ4aWfdu2mfM2JRBsltHi/9nku0xAgaSBUvf+oA0mFHe9yIXombAsVXoDe+kGCbsdH2z/j7skvSqD7FOURwoXomSFX8QXorePDq2d4xP+ZZ99d1u6zRLx0V26F9uEY3goEOskl/3ab0jUnEm2UUDXxoQMBkiaCiiPkie2fcxpWmm+xWEwmPPWRsoXArm+QW8ds8Cw+2v5Zvads1xIh9+dOkxBPRE9boXCe3J87TUt8eP0U89YR0vZp6l4bMf9VyeIUrDSXJTc9NEHZmhOJNkqQ+NCGAEkjKiOk6JmPdc/HbB5usVhM3v3oW2ULQTw+zuk9V8lQozNC/mtYVMIESNoKh/Pkv4Y9rjY+sgs9j4+2x845vefKrWM2KI2Qsy+4jOtA0tzQspeVrTnB6n3a57ZMRoCkmdzqfcoWhA8++Y5vQdJ0a4rF5C+veP8Awvi+esvo9crio+2ffc+0lySQXagsQi4e9Lz2IRneumjQ80rj455pL2k5ds7pPVduGb1e2Z/9n73zeCBhGut67sXK9t1c4kM7AiQNqYqQ1bu/lCauA0nbrfhZb5//Ed9Hbxq9Ts67t1zLha3xP/PeaTtEZYQMDE7gW5A0FA7nycDgBKXxce+0HVqPnfPuLZebRq9T8hoGTntO+5AMb2QFAnJNrxFK9lniwx8IkDSlIkJmrfpE94zM5tH20eeHlCwEN+avlfP7VGh9yFn8z+5T/LKoipBbhldLiOeBpJ1Q2JCbh1cri48+01/xxbFz3r3lcmP+WiWv5ac/O0f7sAxvDCha6/m+Snz4BwGSxnIXeR8hn33F7XjTbTvUFJMNr33l+UJwQ2SN9vho+5r6TH9FVETIZYM3aB+W4Y3LBm9QEh/3zdAbH22PnfP7VCiJkD/cMkACgU7ah2W467S/7er5zRNyF72vfS7DEQRImhu58H1PF4R1r3IaVjpus1Z94ulCcL29Wi7oW+mLAarta7tvhooI2S2PhcZxGlYaCYfz5LHQOOmW480+0zo++s7Y6ctj5/w+FXJDZI2nr21A0RrtwzLclRXoJP922yBP982RC4kPvyFAMsCIhe95tiAUPfMxF6Kn0dbk4d2v4vtgtrnKd/HR9jX2nbFTvI4Q7oaVXry8+1Xr+Lh/5i5fHzsX9K2U6+3Vnr7Gsy+4TLICXIyeLrKyAjJk9g7P9skRC9/TPofh+wiQDOFlhOzZz0MJ02mr3fpXzxaCbHOVXPhAlS8HqLav9f6Zu8TLCPllzg4eSphGcsOW/DJnh6fx8YBP46PtsXNB30rJNld59lr/e9BM7UMz3BEIdJJf/e6Pnu2Lw6uID78iQDLI8Kp3PVkQKjZ8pntmZnNp+/LrJhn1uDcLQbax0vfx0fY1P+BxhNwxYh4Xo6eBUNiQniPmexofD87anVLHzoUPVEm2sdKT12zU7JdTf/JT7cMz3HGPVevJPji86l3tcxfaR4BkGK8i5KMvDkkTp2Kl9NbUFJO1e770ZCG4LrxCLuq3KCUGqLavvV9J8wDoRYRcOvg57cMz3PGbwc95Fh/9SlIjPtoeOxf1WyTXhVd48tr/7bZBksXF6KktK0t+2rWbq/sG8ZE6CJAM5EWEPPXS51wLkuJbU1NMip5x79kf8X3s2uCzKRcfbd/DQyV7JJBd4EmE9MudwrcgKSwUNuTB3CkexUeBPFSyJ6WPHa8iZHDpS9wNK+VlyQ33j3V9nxu24B3tcxZOjADJUMMWvOPqgjB6aaP89atDREiKbk1NMdnypnu33o3vW9fkLpeL+1en5ADV9r30L31VAtnuR8jvhqwKzXi3AAAgAElEQVTVPkTDmd8NWetJfPQvfTUtjp2L+1fLtcFnXX8vl19/H9+CpKqsLDntb7uKUbPP1X2N+EgdBEgGcztCoi/8VfcczZbk9u13MZn41EeuLgRX5z4jlwyoSekBqu17GjD7NQlkux8hfXJn8C1ICgqFDemTO8OT+BhQ9lpaHTsX96+Wa3KXu/qehpfvls4/6KJ/mEZS/vRosav72NBK4iOVECAZbmilexGSH22Uhr9+x3NBUmxrisVkzW53rv1Ix/ho+94GlLkfIb8ZvIlb8qagcDhPfjN4k+vx8XCaxEfbY+eSATVyde4zrr63f+85RLKyuCVvKsnKCsiZP/+lWLUfurZvER+phwCBDKlwL0IWPscdsVJpi8Vi8uU3TVKwzL0h46oRT8ulA2vTaoBq+x4f9iBC7ho5h29BUkgobEivkXPcj485r6f1sXPpwFq5asTTrr3HUNXbcsqP/4/2oRqJ+XOoyrV9anDFXu1zFBJHgEAC2QUyuGKvawvCu43f8lyQFNlisZg8/dIXri0EVw57Si4bWJeWA1Tb9/rInNclkO1ehFw06AUCJIWEwoZcNOgFV+PjkTSNj7bHjtsRcn3f0XLSSVnah2qcWCDQSf7hH3/r+OfeEh/ziY9URYCgxeD57kTIrFWfcBpWCmyHmmJy4NPvHD/3I5Pio+17HjjX3QjpPryGU7FSQDicJ92HL3E1PgaWv5FRx85lA+vkymFPufKezSUfyN/94kLuipUCAoFOMqBorSv70KD5b2ufm5A8AgRHGTT/bVcWhFW7vuSOWD7fDjXFZObKT1xZCK4Yukx++1g0Iwaotu99YPkbEsh2J0LOzdklDwcn8U2Ij4XChjwcnCTn5uxyLT4ezZD4aHvs/PaxqFwxdJkr731A0VoCJAVce1euK/sO8ZH6CBB8z6B5bzleEPIfb5QPPuWCdL9usVhMVu50dupVS3wMWSqXD3o8owaotp/Boy5GyG8GbyJAfCwUNly58DweH4+Vv5nRx85vH4vKFUOWuvIZXHtXrvYBG8cWCHSSrt0uFrP2gON9JmfeW9rnJDhHgOCYclyIkBkrP+FaEB9uh5pisv+T7yTfwalX8X3j8kGPZ2x8tP0sHit/UwLZBa6cinXj8CWciuVD4XCe3Di81rX4cOP3bCpr/XvEjQgxaw9I13Mv5psQHwp0OlkembLB8b5CfKQPAgTtcuPfzK3Y+QWnYvloi8VicqgpJjNWJH/qldtDQzpouzi6cSrWgGAh34T4SChsSP9goSunXgWyiY+2x45b36Q+PGW9BDqdrH3gRmtZ8h93hx3vI/F/yYP0QIDguJyem5z/eKO8+eE3nIrlo+2J7Z87XgjcPHc7XbScm+xShPy/QVtlRNiWEN+EaBcK58mIsC3/b9BWV+LDrWvt0oXb15J17zfeB0M3TjrpJMkKdJLzfn1V0qdetT3NFemDAMEJOb07y7gnPpKPvzjE6Viat1gsJlve/MrxkHDZwDri4wSfUfwCSacR8i9DVnMqlg+Ew3nyL0NWEx8Kjh23IuR3f7xXTsri1rw6BQKd5Kc/O0dyK990tE8MJD7SEgGCDhk419n96Yuf/Vi+/i4mTZyOpWVraorJ2w3fJH3dhxe3zkxXbkdI9rDHtQ/gmS572OOuxIdbtzpPV27e0ttc8oGcc9HvJYvrQbTIygrID075sTxavMnRvhB/3hLSDwGCDnP6kKzKjZ9xPYiG7VBTTD754pCMf/IjRwuB2w8PS+jPr3lXrKrdYs57XozSNWJMf1LyJtdIXtFCySuskvCkSskrXND8fxdVS960x8UoWSHm3E1iVb4idvXbYtd9qHyQij+h11mE7JY7RszjmxANwuE86TlinnTLSf7nF4+PIRXvaDh2PhR78dtiL3hFrPItYpWuEnP6MjGn1og5pVrMyYvEnFzV/J9TFos5rVasmU+LVbZWrPkviL1wj9g176k/3usb5KoRT8ulA2sdfWYjK16X/3Pm2VyUrkNWlvQ2FjvaBx4mPtIaAYKEPOwwQp7Z8YXueTyjtqZYTL75LibTk7zoPP6zvmRAjVyd+4znA5Rdd0CsBTvFKF0leUWLJJRfJMFQWILBYBuh5r8eCjf/9xbhVn+97d8TlKA1VsITysWY8bSY87eJveR9zwepIRXvSCDbWYScl7NTHsydykXpCoXChjyYO9XRRefx+Bha6X182EveF2v+i2LNWi5mYYUY+ePFMIxjsFoxW7HEMON/rc3fY1pijJ0q5pTFYs1eI3bVLk+D3s0IeWTqRvlBl1MlKyugfyjPINffN8rRz/7hste0zzvwFgGChA0oe83RgrB2z5e65/KM2JqaYvLtdzGZs/ZTRwvBJQNq5Jrc5Z4MUHZ9g1iVr0jetMebYyMYOhIL7UWEY6Gj/xxzjOQVVok5d7PYtR94MkgNrXQeIb/M2cGdsRTGR/9gofwyZ4dv48Ou/UCs8i1iTl7UJjbMY0eEK6yj/5yxU8WcvkzsBTs9+d1g1zfI1bnPyCUDahx9hvePeVJO/kEXIkSRf799sKOf+YDZxEcmIECQlAGznUXIhte+0j2fp/XW1BST7w7FpHyds/i4uH+1XBt81tUByq79QMy5myWvcKEEjUirKPAiNjoq3BI94YISMUpWir14r6uD1LAFziPkgpwd8khwIhHicXw8EpwoFziKj10SyC6QYQvcjQ978V6xZq8WY0LZ4W8r2kaBDodjxx4j5pRqseZtFbvOnZCPf3bX5C6Xi/tXO/os+4x6XDp1/gER4rErb30kqZ9R/Gfbv/RV7fMN1CBAkLT+pa86WhDWEyGebPFvPuat91d8WFW7Ja9okQTDhk+i43jfkDT/91DBTDHnbhK7/qDLEZL8aT3/mPMS34R4GB8DgoXyjzkv+SY+7PqDYpVvFmN86feHft85/LrMiJhTa8ReuMe1CLk2+KzjCLk3Us83IR761z8NdBQfD5Xs0T7XQB0CBI48VLLH0YKwejenY7m5NTXF5OvvYlLm8LSri/otkuvCKxwPUHbdATHL1kto9FSfR8cJYsQcJUbxMrEXv+OLCPllzg55KDiZCHE5Ph7KnezwtKvm+Bhe9a7zY6dmr1gznhQjMtbn0XGCGCmYIdacDY6uGYl/lteFV8hF/RY5Ox1r7FPygy4/4u5YLrum1whHP9t+Jbu1zzNQiwCBY/1KdjtaEJ7c/rk0NcV4WKHDrakpJp99dUhmrXJ2wfmFD1RJtrHS0c/Urt0vxvQnJJhnp2h4tBcjIQlPnCfWotcdfcbDq96VQLazCDk/52W5Z+Qs7o7lgnA4T+4ZOUvOz3lFe3zYi15vvojc02s5NISIPVqsmU+JXbvf0bGTbayUCx+ocvQZ9y9cLaf9bVfujuVQViAgWYFO0v3BcY5+pg/OIj4yEQECVzw4y1mEzFv/qXz1TRMPK0xyi8Vi8k7jtzLxKWe32nUaH3bdATFmPSvBvIjGUFAUIoULxV78ttYI6ZazW24evljy8vJ4YnoS4p/ZTcMXi7Nb7cbj473kj53Fe8WcUp1G4dEOe7RYpSuT+kbEzQgZMW+P/OJXv+NhhUkKBDpJlx+dLn3yo47WnAdm7tI+v0APAgSuuX/mLkcLwpTlH8vBz77jYYUJbPHnqmx9639llMOHDF7Qt1KyzVVJ/Qzt+oNizl4nQXOMDwJBYYiEwpI3tS7h2/nGP+MRC9+TQLbTCNkjVw39i+SGLU7JSig+DMkNW/KvQ//i6LOPx8eIhcnFh73kPTGLo+3fAjdd5Y9vPjUrweurWiLEXCUX9K10tOaYSz6Q3/7XPdqH+VSTFQjI//cP/yiDZm1ztObcT3xkNAIEruo7Y6ejBWHsskbZte/ro4ZrtmNv8dPWlr34eVKfdeuF4Pw+FXK9vTqpn521YJeE8if5IAg0hkjYFKN0VUKfndsR8pvBm2RwaCwR0sH4GBwaK78ZvMnRZ/6LR3dKILtARi58P+Fjx65vaL6jlZWfWeHR1pjJYlftTur31vX2ascREok2yk0PTZCsQCeuC+mgf/r9jRJelNz1cPGfVd8ZO7XPK9CLAIHr7pvxiuMF4amXPpdvD8U4JaudLRaLScNfv5PZq5O73qNtfNwQWZP4AFV3QPKmPS7fe65GBguNnSF29VsJ/wxGLnxfAtkF8otHdzoaiC/IeUnuGll2eMjWP+j7TfwzuWvkHEe32W0dH7mLkoiP6rfEGF+if/j3heZTzszpyxI6Lat1hJzfp8LxmvPg+OVyxln/l1Oy2hEIdJLOPzhFbnywIPnrmw7/jO6b8Yr2OQX6ESDwRJ/pziNkyvKP5e2Gb1sGbjaRQ00xaYrFZN2eL2X00uQ+19YLwXn3lsuN+WsT/llZC3ZKKDJB+8DvPyEJhg0xSlZ0+POMf/a5i/ZJINt5hHTL2SN/GLJChoZG8W3IUfFhyNDQKPnDkBWOP9+W+Kjel9CxY9c3iFW6SgwzIhn9rUd7RheJXbUz4d9jN0TWuBIhRs0+ueq/cyQrK8C3IW10u/iKpE+5av2z6lP8svb5BP5AgMAzfYpfdrwgRKKN8sT2z+Wb7/g2JBaLycHPvpNSB996tI2Pm0avS3CAOsi3Hh0UGjO9ww8zbImQavci5IKcHdJr5NzDw7f+ANAXHs3/2WvkXEe32HUcH4v3ijFulv4h39dafRvSwWtD4j+DG/PXynn3lruy5vSb8Kyc+fNfZvy3IYFAJ+n8w1PkpocmOLul9OGfyb3TdmifS+AfBAg8de+0Ha4sCJOXfyy79zdfG5JpIRJ/sOCqXV8mfaG5K/Gx5H0JFczUPtinjpAEjXyxKl/WFiHdcvbIFUNWymOhcZKXl5dRt+yNv9fHQuPkiiErXfksk46PBS+LYY8RvvVIwITZHb65Q/xncdPoda5FiFGzX67pNUI6/+CUjPs2JH574l/97o8yuHS7K2vOPdNe0j6PwF8IEHjunmkvubIgRKKNUrbmU9l7+LSsdH9uyKGm5m99Nr3xVdK31z3WQnBO77ly65gNCf1MrIWvSsgqEL71SCJCQmExZq/RGiHn5uyS64Y+IUNCoyUcTu8Qib+/IaHRcu3QJ+XcHGcX97eNj2CC8WGVrRXDyLA7XLnCFGPUBLEXvZbQsXPL6PVyTu+5rq05I+btkd/f+IAEOp2c9s8NiYdWt4uvkAfHLXdtzek9Zbv2OQT+Q4BAid5Ttru2IESijVKx4TP54NPvRCT9QuRQU0xisZhsf+d/ZfLyj135vOKf/dl3lyUcH2b5FgmGDeLDobwpNR06rST+cwm6HCHdcvbIeTk75Y/D6mVEOJJ2IRJ/P8PDEfnjsHo5L8e9z+1IfOw/6md0/J/jQTGn1flgkE9lphhWRKx5WxP6PXfrmA2uRkgk2iiDSl6U31x9h5yUlZV2IRIPj67dLpb/MZe4uuYQH2gPAQJl3I6QSLRRqjd/1vKNSCqfmhWLNUfHd4disu3t/5XpK5xd59FefPxp7MaEfgbGjGe0D+7pJDR2RoeeBH0kQvZLINvdCOmWs0d+mfOy3DC8VgaHxkheXl5KX6wef+2DQ2PkhuG18sucl139rOLxEUokPmr3c5crl1mzOvZv5OO/3/40dqOcfXeZ62vOI1M3ymXX/VlO7vzDw9eIpO51IvGQOuef/kXuHDHPtc8p/pnfPflF7XMH/IsAgVJ3T37R9QUhEm2U6Ss+kc1vfiXffNc8yKfKwwzj0fTR54fk6R1fyLgnnJ9qdayF4KxepdJj3KYOf/Z2fYPkFS/VPrCnn5CERk/p0Lnt8Z9TyKMIiZ+a9YchK6VP7gwJh1MrREJhQ8LhPOmTO0P+MGSla6daHTM+FicQH0v2iVFQLJxy5T5zxhMd/v1l1zdIj3GbPImQSLRRcivflOv7jpafdu3WPMx3So1vRbKyAnLSSSfJD7r8SP7lxgdk4LTnPFlz/ly0Tfu8AX8jQKDcn4u2ebIgRKLNDzJc+uLn8t5H37bcutdv34zEX88338Xklfe+lnnrP3X9c2gbH7eN35xYfEyt88Gwnq5CEsovTOjp6aHFH0gg25sIibto0PNy6/BFMjg09qgBX3doxDUHUvN/HxwaK7cOXyQXDXres8+jJT5qPuj4MbfkfTHGTCE+vIyQ4mhCEXLb+M1yVq9Sz9Ycu75B7o3Uy8V/uFk6//CUwzFysvbQONYpVllZWfLzX/6z3DygMOkHCXbkM7+r8AXtcwb8jwCBFncVvuDZghA38amPJLrtc9m972v59tCRGFH9TJGmpiPfyHz21SHZ8uZXUrnxM8d3tOpofNyecHzU+2BIT3chCeUXJRYhNd5HSLPdcsngzXLj8CXyULCo5doKHd+OxCMoHM6Th4JFcuPwWrlk8GbplrPb08/gF482PygtnFB87CM+VEXI9KW+ipA4o2a//I9ZI5df31d+8tOuh4f/gGQFAoqjI6slgjr/4BS58PIb5E8Dp8mI+a96vub0mvS89vkCqYEAgTa9Jj3v+YIQN3ppoyzY+JlseO0refvgN/L1t01HfSPh1ilbbf9Zn355SHa+/7U8+8oXMnOle9d1dGQh6HpnifScuCWxaz6Kl/lgOM8UIQmNmtKha0Liwi0R8orHEXLErwZtl2uHPil3jJgnDwcntYRIOJwnQZe+JWn7zwqFDXk4OEnuGDFPrh36pPxq0HZl7zep+KjdL8ZYTrtSyZrxZEK/D3tO3CJd7yxRtubY9Q0yoGit/GfvPPmn33eX0884q9U3Ei4+6DAr66hvXH54yo/l3IuvlCtvfUR651WLUbNP2Zpzx8St2ucKpA4CBFrdMXGrsgWhrSnLP5bFW/4q61/9Unbv/1r2ffKd/PWrpoRP2frmu5h8/MUheafxW9nxXnNszN/wqYx/0t3rORJZCLreWZLwZ2uUrvHBUJ5pQhIeV9qhu2PFtUTIQHUR0tp5OTvlnwdvlOuGPSE9R8yX+3JnyCPBiTI8nJ9QjDTfsSpfHglOlPtyZ0jPEfPlumFPyD8P3ujqHawSio+BScRH/UExJs4hPnRESNm6hH4vqo6QtnIr35B77Fr5z9558uuresgvLrxc/ubvfiGdf3hqQtER6HSy/OSnP5O//7+XyK8uv17+tcejcsewOTJo1jbl76v1Z6t7nkBqIUCgXaL/ll6FCU9+JDNXfiLz1n8qFRs+lQUbP5Oq5z6Tyo2fyfwNn8rcdZ/K1L98LGOW6X+tbReCM3tOT/jbJatih3CbXX3yptYn9LMOLzkggWx9EdKec3N2yYWDtsllgzfI5UPWyO+HrJYrhqyUK4aslN8PWS2XD1kjlw3eIBcO2ubJReNuxEfekgMJ/SzM4se1D+KZyxI7wQd93jFxq9YIafeYXvSOPDZjq/Qd84TcY9dKb2Ox/DlUJb3zquUeq1b65Efl4cnrZOT813zzuuOf4e3jN2ufI5B6CBD4QiLXKaD9heDMntMTvr7Grn5LgnkRAkQzc3bH/m1uXJ5PIyQVJRsf1pz1PhjCM5kphj1a7MVvJ/R78q7CF+TMntNZc1xYc24jPpAkAgS+kcidmvD9heCMHsUJ32HMrv1AQpFJxIcfhMJidfDf5sYdiRB3n32RCc55bPfh+HhZAtmJx4e94BUxTMsHQ3imM8UYM1ns2o6dNkeEuLfm9Bi3SfvcgNRFgMBXEnlWBY6Oj0SfsWLXN0h4wlziwzdCEjTyxa5J7PaYbSMkPljjxPHxD48kGR8174hhjxGu+/ALU8zC+Qn97os/q+KMHsWsOUmsOX8au1H7vIDURoDAdxJ9Wnemah0fyTxl3py9zgdDN9pGSHjc7IT3/XiE/MMjREii8WEkGh/1DVx07lPWnA0J//7sPWU7EZLgZ0Z8wA0ECHzp1jEbWBA6sBAkGx/24r0SDJs+GLhxLIleDxKJNoqx5EMJZBMhJ4qPcx7b3So+Pkz4c+a6Dx+z8sWu2Zvw71EipOOf1a1jNmifD5AeCBD41i2j17MgHGchOL1Hsdwz7aXE46O+QcLjSoRTr3wsbIq9uOODVFzrCIkP27qHfr84Eh87JJBdIEZt4vFhL94rhpWvf9BGO0wxJs5J+PdhPEJOJ0KO+xndMnq99rkA6YMAga/dNHodC8IxFoLTexTLvdN2JPXZGLN53of/hSQ8riSp/d6ojUfIDiLEzfiobxBjQplw6pX/dfT5IG1/r947bQcR0s5nc9PoddrnAaQXAgS+R4QcvRCcdnOh9Cl+OanPhFOvUos5e21S+woR4m58RKKNYpWt0z5Yo4MSPBWr9e/XPsUvEyFtPpMb89dqnwOQfggQpIQb89dm9IJwVHxMfyXpzyJcWCWcepVCjPwO3160LSLEvfiwaz8QIzJW/2CNDjLFnFKd9O/ZPtNfkdNuLmTNqW+QGyJrtK//SE8ECFLGDZE1GbkgtI6PvjN2Jv0ZWAtf0z9QI2HG9CeT3ncyOULcio9ItFGsmU/7YKhGouxFryf9+/a+GZkbIfH3fL29Wvu6j/RFgCClXG+vzqgFoXV83D9zl6P3Hh5fJnz7kYLCpthL3k96HzLrDkogO7Mi5Eh8vCSB7AIx6w4mfwwueU8MK6J9mEaiTDEmlTv6vdt3xs6MixDiA6oQIEg52eaqjFgQ4u/x1O6F8oDD+LAqX9Y/SCNJIcmbWudoX4pHyNmPvJT2ERJ/f2e7EB+RaKOYxfXCheepy17wiqPfv/fP3CWnds+MCIm/x2xzlfZ1HumPAEFKyjZWpvWC0Do+Hpy129F7tesbJDSmWPj2I4WFwmJXv+Von7IyIEKOxMd2CWQXiOUwPuzFb4thWNqHaCTLFGPcTEe/O+36BnkgAyKkJT6MldrXd2QGAgQpK10jpHV89CtxFh+RaKNYFS/pH6DhUEjyptQ43reORMj2tIsQt+MjEm0Uc1qt8O1H6rMrX3b8+/jBWbvTNkLi7+m68Art6zoyBwGClHZdeEVaLQjx99Kle6E8VLLHlfcWnjhP+PYjDYTNpO+I1Vo6Rkj8ffz84RclkO1OfNi1+7n2Iy2YYhZWuvJ7uV9J+kVI/L1cG3xW+3qOzEKAIOVdG3w2LRaE1vHRv/RVV96TXfMO8ZFGjJJVruxr8Qj5+cMvpnyEeBEfkWijWLNX+2B4hlsRYte868rv5/6lr0qXNImQ+Hu4Jne59nUcmYcAQVq4Jnd5Si8IreNjwOzXXHsvxvQnCJA0EopMdG0fT4cI8So+7PoGMUYX+WBwhlsBYs182rXf0wNmv5byEUJ8QDcCBGnj6txnUnJBaB0fD5e5Fx92/UEJGqO0D81wl1Xxkmv7XipHiFfxEYk2il25wwdDM1wVKRC73oVT8+IRUpa6ERJ/zVfnPqN93UbmIkCQVq4a8XRKLQjx19o5u0AenvO6q6/dnLtJ+7AMt4UkPHG+q/tgKkbIkfjYJoFsd+MjEm0Us7BSuPg8/VjlW1z9vf1wCkZI/LVeNeJp7es1MhsBgrSTKhHSOj4ecTk+ItFGCU+cL5x+lYbChth1B1zdF626BglkF8jPB2zzfYS0xMeAeHy4e5zbdQfEMG3twzLcZopZtMD1398Pz3ldOmcXpNSaQ3zADwgQpKUrhz3l6wWhdXwMnOt+fNh1H0owbOofluEJc97zru+TqRAhXsdHJNoo1vwXfDAswxNWviunYbX9Pf5ICkRI/LVdOewp7eszEMgmQJDGrhi6zJcLQuv4eLT8DU9eozn/Re1DMrwSlryiak/2TavevxHSEh/9X5BAdoFYHh3X5tQa4fSr9GVVbPfk9/nA8jd8GyHx13TF0GXa12UgjgBBWvNjhMTj47HyNz17bXlTlginX6UxY5Rn+3RLhPR/wTcRoio+7PoGMSJjtQ/J8Iop5rQ6zwb8R30YIS3xMWSp9vUYaI0AQdq7YshS3ywI1uH4yJn3lmevya5vkKA5Rv+QDE9ZC3Z5up8GsgvkLB9ESPzPP8vj+IhEG8Wu2uWDIRmeyp/g2e9du75BHit/Uzp7vJ8m+pouH/S49nUYaIsAQUa4fNDj2iMkPtR5GR+RaKNYC1/TPhzDayExipd5vr92ztYbIS3x8dDzLUOdl8ewNeNJ4fSr9Gcvet3TgT9n3lsSyNYbIcQH/I4AQcbQGSHx+Bg0/23PX4M5e60PBmR4LTyu1PMBpiVCHnpeeYQciY+tyk5rMSaWaR+O4T2rbL3ng/8gjRESfw2/fSyqfd0F2kOAIKP89rGo8ghRGR+RaKPkTa6RYCisfUCGx4yIskGmOUK2KosQHfFh1zeIYY/RPhzDa5aY02qVHDeD5r8tgWy1ERL/sy8bWKd9vQWOhwBBxrlsYJ2yCInf2nTw/L3K/szQ6Cn6h2MoYS/eq2ygURUhR+Jji9ILeu3Fe30wHEOJgunKjhuVEUJ8IJUQIMhIKiKkJT4q1MWHXX9QgqE87YMx1DDnbvZ8n2o92DRHyBbPIkRXfESijWKVb9E/GEMN03b1eSAnOm4GV+yVQLY3z61p+2ddOrBW+/oKdAQBgox16cBazwYcq+6gBLILZGjlO2qHqKo92odiqBKSvOKlSvar1gOOVxES/+f9fb/NWm5las54QrgAPXPYC19VetwMqXhHAtneREj8z7hkQI32dRXoKAIEGe2SATWuDzq64iMSbRRz9jofDMZQJTx+trJ9q/Wg06V7ofx9v82uRUjr+OjSvVDLzSKMiXO1D8VQx5qzQflxM7QyHiHuP42d+ECqIUCQ8dyMkHh8DFugPj4i0UYxpj8pPIAwc4TyJyndv1oPPG5FiB/iIxJtFGPMZO1DMVQxxZr5jJbjZtgC9yIk/s+8uH+19nUUSBQBAmQXyMX9qx0PPrrjIxJtlLzJi7kDViZRcCes4w0+XboXStcHNyUdIfG/r+uDm7TGRyTaKIY92geDMZQwvb8T1vGOGzcihPhAqiNAgMMu6rco6QEoHh/Dq97VOkSFJ8zVPxRDKRUX0x5vAEo2Qo7Ex3Pa48OuPwYWFNEAABpTSURBVKh/KIZSZuF8rceNkwiJ/zMu6rdI+7oJJIsAAVpJJkL8Eh+RKLfgzUQqbsV7okGoOUKe63CEtI6PUzXHRyTKLXgzUkGx9uNmeNW7EshOLELif++FD1RpXy8BJwgQoI0LH6jq8EB0JD7e0z5ERaKNEjRHax+IoZa1YKfWfS6+35/awQg5Eh8bfREfkWij2FU79Q/EUCt/nC+Om0QihPhAOiFAgGO4oG/lCQcj83B8jFjoj/iIRBu5/iMDmeVbte93R0fIxnYjpCU+Htjgm/iIRBvFmrdV/0AMtUxb+353JELek0D28SMk/r+9oG+l9vURcAMBArTjeBHix/iIRBu1D8PQECBzntO+37UekE7tXihdH9jwvQiJ/98/81l8RKKNYpVv0j8QQznd+13r42bEwuYIMY8RIcQH0hEBAhzH+X0qvjcoGbUfSiC7QHIXve+rIcquP6h9GIaGAClT9zyDjg5Tp91cKD9rFSGt4+O0m/0VH5Foo1hzN2ofhqGeX/bB+PEwcuH7EsguEKP2w+/9/87vU6F9PQTcRIAAJxCPkEi0dXzs890QZdcd0D4MQ0eArNe+7x1rmGqOkPWt4mO9L+MjEm0Ua84G7cMwNARI3Yfa9722x03uoqMjxK5vkPPuLde+DgJuI0CADjirV6ncMnq9BLILJLfaf/HRvFDxDUgm8tM3IG2HqdNuLpSf9FwsP+m52LfxEYk2ijWHb0Ayka5bWJ/ouMmt3ieB7AK5ZfR6OatXqfb1D/ACAQIkwM2npnuxeOkehqEhQHxyDcixhKr3txw7oer92l9Pe6y5XAOSifz6e9yub5BLBtRoX+8ALxEgQAJO71Hs2wCJRBslGAxpH4ihOEDmbtK+37U3RPWa9HzLsdNr0vO+PXas8i3ah2GoZmrf74537Jzeo1j7egd4iQABEnRO77m+HaSCeRHtAzHUsipe0r7fHWuA6j1l+/eOnd5Ttvvy2LErd/hgIIZS9hjt+117x87Zd5dpX+cArxEgQBLOvrvMl4NUKH+S9oEYigNk0Zva97u2A9Tdk19s99jxY4TY1W/qH4ih1pgp2ve7Yx07xAcyBQECJMmPERIeV6p9IIZadt0B7ftd6wHqz0XbTnjs3D35RV8dO3bdB/oHYqg1cY72/a7tscMF58gkBAjgwFm9Sn01SOUVLpRgkKehZ4ywP85jjx8DdxW+0OFj589F23x17BhWvv6hGIpYYk6p1r7PtT52iA9kGgIEcMhPEZJXvFSCIQIkY9jjtO9zx7rgvKPuKnzBN8eOMWqiDwZjKAuQGU9o3+eID2QyAgRwQdc7S3wxSBklK/UPxVAmNHaGLwaoOyZuTfrY8cvdsYzxs3wwGEMVa/ZqXxw7Xe8s0b5+AToQIIBL/BAhVsV27UMxFAmFJW9yjfYBqufELY6PnTsmbtV+7JhTl4hhWNoHY6hhV+7QfuwQH8hkBAjgIt0RYtfu1z8YQxlz9lqtA9Tt4ze7duz0nLhF67Fjla3TPhRDYYDU6nkwZnwfP7PndO3rFaATAQK47Mye07UOUkF7nPbBGGpYVXu0DVC3uRgfcbeP36zt2LEX7tE+FEORUZOID0AzAgTwgM4ICU+qEJ6IngFCeWLXH9QyQPUYt8mzY+c2TRFi1x8Uw7T1D8fwmClmUZW2+DiDJ5wDEsgmQADPnNGjWMsgZcxcToBkgNDoqVoGqD+N3ej5sdNj3CY9x05BsQ8GZHjNKllBfACaESCAh3REiFXxkvbhGF5TewF6fB++dcwGZcfOn8ZuVH7smNNqhQvR05/KC9CJD+DYCBDAY6ojxK77UIJhwwdDMrxklm9J2/iIu3XMBqXHjjVvq/bhGB6zImLXfaj02Dmd+AC+hwABFDhdcYSEJ5QLp2GlsVCe2HUHlA1Qt4xer+3YuWX0emXHjl33AdeBpDVTzMIK4gPwAQIEUERlhJhl6/UPyfBISMLjy5QNUDeNXqf92Llp9Dplx44xca4YhumDYRlesOZsID4AHyBAAIVOu7lQySBlL3lf+AYkfRmla5QMUDfmr9V+zMTdmL9WybFjla3VPiTDK6bYS95XcuycdnOh9mMG8DMCBFBMVYSExkzXPijDG3bNu54PUDdE1mg/VtpSESF2zbs+GJThifGziA/AJwgQQAMVEWLM+ov2QRluC3l6+934Pnm9vVr7MdKeGyJrvD92CqYLp2GlHy9vv0t8AIkhQABNvI4Qe8l7EgyFfTA0w03m7LWeDlDZ5irtx8aJXG+v9vTYscrWaR+W4TLTEnvJe54eO6d2Jz6AjiJAAI1O7e5thIQnVQrXgqSRPMuTu1+lUnzEZZurPDt27LoDYlij9A/NcIkp5uSFxAfgIwQIoJmXEWJVvqJ/aIZLQpI3tc67+DBWaj8WEpVtrPTs2DGLo8JpWOnDrtpJfAA+QoAAPuBlhIRGFQnfgqQHq/pNTwao68IrtB8DybouvMKTY8euflP70Aw3mGKMnUZ8AD5DgAA+4VWEGLPXaB+c4VRIwuNKPBmgrg0+q33fd8qrCDEmlAnfgqQ+q2ydJ8dOF+IDSBoBAvhIFw8ixK47IEEj3wdDNJww57/o+gB1Te5y7fu8W64NPuv6sWNVbNc+PMOhyFhXr5siPgB3ECCAz3gRIUbpKu0DNJIVklDBTNcHqKtzn9G+r7vtmtzl7h8740uFb0FSlzXbvYd2Eh+AewgQwIfcjhC7/qCE7HHCtSCpyVqwi/jooKtzn3H32KnapX2IRjJMMUZPErv+IPEB+BABAviU2xFiztmkfZBGokISnjjP1QHqqhFPa9+3vXbViKfdPXYKK4RvQVKPVb7Z1WOnsw/2bSBdECCAj3XOLnBtkLLrGyQ0arLwLUgqCYm16A3XBqgrhz2lfZ9Wxc0IsavfIEBSiilGQbFrvzeJD8B9BAjgc25GiFWx3QdDNToaH3mTa4gPB64c9pRrx445rZYISSF25UvEB+BjBAiQAtyMkHDhQuFbEL8LSdAcI3btflcGqCuGLtO+D+tyxdBlrhw7du1+MfLHESG+Z4o5ZTHxAfgcAQKkCLcixK7dL0FzDBHic+b8be7Ex5Cl2vdd3a4YstSVY8ea/6IPBmwcLz6M/HGuhLtFfACeIkCAFNI5u0AsN04nmb9N+4CN9oQkXFTtSnxcPuhx7fusX7gVIeaUGuFbEP+yKrY7/v1IfADeI0CAFORGhISLqoVvQfwmJEHL2alXxEf7Lh/0uOMIaT4VazwR4jummFOXuBIfuvdTIBMQIECKchohdu1+CVpjiRCfseYn/29w48P1bx+Lat8//eq3j0UdRwhPSPcbU4xRExyfekV8AOoQIEAKcxohVtUeCYYN7UM3mhkznnEcH5cNrNO+X/qdKxEya7kPBm8YhiGGGRF74avEB5BCCBAgxVl1Ds9pn7tZ++CNkIQnVSQ9EBMfibtsYJ2jCLHrG8QsWiCciqWfNW+rs/ioIz4A1QgQIA04jRBj+pM+GMIzVUhCo6aIXXfAUXxcOrBW+36Yai4dWOssQuoOiFFQTITojI+ZTxMfQAoiQIA04SRC7PoGCU+cJ1wPoj4+guYosWvecRQflwyo0b7/pSrHEVLzjhiRsUSIcqaYRZXOTqOrO6h9/wMyFQECpBGr7mDyEVJ3QEKjpxAhKuMjbIi1YBfxodklA2qcRUjVLjGsCBGiMD6MgulJf2tIfAD6ESBAmnEUIUvel1B+ERGiIj5CeWJV7HAUHxf3r9a+v6WLi/tXO4uQyh1imDYRoiI+xk4Vu3Yf8QGkMAIESEPOI2QSEeJxfJjzXyQ+fMZphFgV24kQr+NjzGSxl7xPfAApjgAB0pTjCBk1mQjxIj7ChlgVLzmKj4v6LdK+f6Wri/otcuGbEE7H8iQ+xk4jPoA0QYAAacxRhNTuk9CYaUSIq/FhiVX5iqP4uPCBKu37Vbq78IEqZxGyYKcY1igixE3jZjp60CDxAfgLAQKkOacXpocnVfpgeE91IQnZ48Va9DrxkSIcR8ii18UYPYkIcYE5eSEXnANphgABMoCjCKlvEGPmch8M8akrPK406Ytm40PwBX0rte9HmeaCvpXOIqR2nxgT5mgf4FOTKYZhilWywtGtdk3iA/AlAgTIEKaDCIlEG8Wct02CYUs4JSsxedOiYtcn99nHh9/z+1Ro338yleMIqT8o5vSlPhjoU4kphjVKrCRv1EB8AP5HgAAZxKj90NGCbi16Q0KRCdqHev87fKersg2OvnkiPvzh/D4VjiIkEm0Ua+5G7pDVUaOLxK5+09HvKqP2Q+37DYD2ESBAhnEaIXbdAcmbFm0esvk25JhCY6eL5WCAig+7591brn1/QTM3IsSufkuM8bP0D/i+1HzKlTl9mdh1zn5HER+A/xEgQAZyGiGRaKNYC3bybchRmm+xa5SsdDakEh++dd695c4jpL5BrNmruVVvW6OLxK7a5fj3EvEBpAYCBMhQbkSIXfeh5BUvFb4NCUpo7Eyxq99y9nkSH77nRoREoo1iL35LjPEl+gd/rQ5/6zHjCcffehAfQGohQIAM5kaERKKNYlXtkfC40iPfBPggCJSFh1UgZtkG5wPp4aH2nN5zte8XOL5zes91J0LqG5qvDRk1wQcxoDo8DDEmzhV74auu/A4iPoDUQoAAGc6tCIlEG8Wq2HH44YUZECJGvhglq1z5N7fER+pxK0Kaf/4fNp+WFRnrgzhQEB7jZopd+bJrv3eMJcQHkGoIEABiLHEvQuz6BjHLt0oof1J6hkjYEmPG02LXfuDa52XXN8jZd5dp3w+QmLPvLnMtQiLRRrHrPhBr1nIxrHwfxIIH4TFmsljzX3Dt82qOjwPa9wMAiSNAAEggu0DyliT/pOF2Q2TeCxIeX5biIdL8ukORic0XmNfud/Uzsusb5Kxepdp//kiO2xESiTaKXbu/+RuR0UVHD/Ap5/DrnlQu1vxtrn5GkWij5BEfQMoiQAC0cDtCWgaqxW83X6xu5KdWjITCEp44X6yKHa4PT8RH+jirV6nrEdKyj1S+LGZRpRiG5YOgSCA6ImObLy5fvNeT3ynEB5DaCBAAR/EqQiLR5rtmmXM3SXhShQTzLJ/FSKjlP0NjZ4gx6y9i17zrzedAfKQdryKkZZ+peU+skhWH75xlHj3sa3f4dVijxCyqEqt8s9j17p3WSXwA6YcAAfA9XkbIkSH8oFgVL0ne1DoJ2eNahUBY2bcbLf89z5LwxAox52wUe8k+j99385Da9c4S7T9nuKvrnSWeRkjLPlS7T6y5z4lZtKDN9SKqviVp9eeMmiRmcVTsyh1i1x/0/PcG8QGkBwIEwDGFFURIa1b1m2LOeU7yptZLaOzMVt+QtA6GROMkdPjvCx31zwnlF0le0SIxSleJtWCXksGJ+MgMqiLkyD51UOyqXWLNXiPmlMVijJ3aJkRMMUwriW9LrMN/X6u/Zo0SY3ypmNMfF2vuJsfPvUlUuOYD7T9fAO4gQAC0K1zjzp2ekh3Wreo3xZy7WYySFZI37XHJK6yS8LjS5jtsGfkSDFsSDBsSDOU1/2fYlGCeLUF7nITGTJfwxArJm1onxszlYpatb44NF26b6yQ+zuw5XfvPFd5SHSHf29fqPhS7ardYczaKVfIXMYujzd+WjJ8lxqiJYtijm785MSNimLYYVqQ5LuwxYoyZLMbEMjGnVIs5fZlYpavEKt8idvVb2t4P8QGkHwIEwHHpjJB0QXxknjN7TtcaIemE+ADSDwEC4ISIkOQRH5mLCHEuRHwAaYkAAdAhocUftAzUuoeSVBEfPs/oUaz95wc9zuhRTIQkcdxEoo0SWrxf+88PgDcIEAAdFqref9SAgOMPUcQHAtlESKLHTSTaKKFq4gNIZwQIgIQEiZAODVHEB1ojQjp23ESijRIkPoC0R4AASFiweh/D1HGGKLu+QU4nPtDG6UTICY+b3Op92n9OALxHgABISi4R0u4QRXygPURI+8cN8QFkDgIEQNKIkO8PUafdXKj95wJ/I0KIDyDTESAAHCFCiA8k7rSbCzlu4vGxiPgAMg0BAsCx3EWZGyHEB5KVyRFyJD7e1/5zAKAeAQLAFSMXvp9xwxTxAacyMULi73fkQuIDyFQECADXjFj4XsYMU/H3eWp34gPOnNo9cyIk/j5HLHxP++cOQB8CBICrMiFCiA+4LRMiJP7+hlcRH0CmI0AAuG541btpO0wRH/BKOkfIkfh4V/vnDEA/AgSAJ9IxQuLvpwvxAY+kY4QQHwDaIkAAeGbYgnfSZpgiPqBKlzSKkPj7GLbgHe2fKwD/IEAAeCodIoT4gGrpECHEB4D2ECAAPJfKEUJ8QJdUjpD46x5aSXwA+D4CBIASQytTL0Lir7ezDz4/ZKZUjBDiA8CJECAAlBlSkToRQnzALzpnF6TccTO4Yq/2zw2AfxEgAJQaXLHX98MU8QG/SYUIaYmP+cQHgOMjQAAoN2j+274dpogP+JWfIyT+ugbNf1v75wTA/wgQAFr4MUKID/idHyOE+ACQKAIEgDaD5r3lm2HKrm8Qi/hACuicXSCWj44bu75Bcua9pf1zAZA6CBAAWuX4JEKs+gbtnwWQCEvzMUN8AEgWAQJAu8fK39QaIcQHUpWuCIkfr4+Vv6n9MwCQeggQAL7waPkbWiKE+ECqUx0h8eN0YPkb2t87gNREgADwjYGKI8SqIz6QHlRFSEt8zH1d+3sGkLoIEAC+MnDu60oihPhAurHqvD1m4sflI3OIDwDOECAAfOfhOd5GiFV3UPt7BLzgVYTEj8eHiQ8ALiBAAPjSw2WveRIhxAfSnVV30Jv4KHtN+3sDkB4IEAC+NcDlCCE+kCncipD48TdgNvEBwD0ECABfGzDbnQghPpBpnEZI/LjrX/qq9vcCIL0QIAB8r3/pq44ihPhApko2QuLH20Mle7S/BwDphwABkBIeKtmTVIQQH8h0iUZI/DjrV7Jb+2sHkJ4IEAApo1/J7oQihPgAmnU0QuLH14OziA8A3iFAAKSUB2d1LEKID+BoJ4qQ+HH1wMxd2l8rgPRGgABIOffP3HXcCDGJD+CYzHYiJH483U98AFCAAAGQkvrO2HnMCDFqP9T+2gA/axsh8eOo74yd2l8bgMxAgABIWffNeOWoCCE+gI4xaj88Kj7um/GK9tcEIHMQIABSWp/pzRFCfACJMWo/FLu+QfpMJz4AqEWAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMoQIAAAAACUIUAAAAAAKEOAAAAAAFCGAAEAAACgDAECAAAAQBkCBAAAAIAyBAgAAAAAZQgQAAAAAMr8/8gxCMNWv8IdAAAAAElFTkSuQmCC\" width=\"800\">" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "grid = hello_quantum.pauli_grid()\n", "grid.update_grid()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This has attributes and methods which create and run quantum circuits with Qiskit." ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "for gate in [['x','1'],['h','0'],['z','0'],['h','1'],['z','1']]:\n", " command = 'grid.qc.'+gate[0]+'(grid.qr['+gate[1]+'])'\n", " eval(command)\n", " grid.update_grid()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "There is also an alternative visualization, which can be used to better represent non-Clifford gates." ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "application/javascript": [ "/* Put everything inside the global mpl namespace */\n", "window.mpl = {};\n", "\n", "\n", "mpl.get_websocket_type = function() {\n", " if (typeof(WebSocket) !== 'undefined') {\n", " return WebSocket;\n", " } else if (typeof(MozWebSocket) !== 'undefined') {\n", " return MozWebSocket;\n", " } else {\n", " alert('Your browser does not have WebSocket support.' +\n", " 'Please try Chrome, Safari or Firefox ≥ 6. ' +\n", " 'Firefox 4 and 5 are also supported but you ' +\n", " 'have to enable WebSockets in about:config.');\n", " };\n", "}\n", "\n", "mpl.figure = function(figure_id, websocket, ondownload, parent_element) {\n", " this.id = figure_id;\n", "\n", " this.ws = websocket;\n", "\n", " this.supports_binary = (this.ws.binaryType != undefined);\n", "\n", " if (!this.supports_binary) {\n", " var warnings = document.getElementById(\"mpl-warnings\");\n", " if (warnings) {\n", " warnings.style.display = 'block';\n", " warnings.textContent = (\n", " \"This browser does not support binary websocket messages. \" +\n", " \"Performance may be slow.\");\n", " }\n", " }\n", "\n", " this.imageObj = new Image();\n", "\n", " this.context = undefined;\n", " this.message = undefined;\n", " this.canvas = undefined;\n", " this.rubberband_canvas = undefined;\n", " this.rubberband_context = undefined;\n", " this.format_dropdown = undefined;\n", "\n", " this.image_mode = 'full';\n", "\n", " this.root = $('<div/>');\n", " this._root_extra_style(this.root)\n", " this.root.attr('style', 'display: inline-block');\n", "\n", " $(parent_element).append(this.root);\n", "\n", " this._init_header(this);\n", " this._init_canvas(this);\n", " this._init_toolbar(this);\n", "\n", " var fig = this;\n", "\n", " this.waiting = false;\n", "\n", " this.ws.onopen = function () {\n", " fig.send_message(\"supports_binary\", {value: fig.supports_binary});\n", " fig.send_message(\"send_image_mode\", {});\n", " if (mpl.ratio != 1) {\n", " fig.send_message(\"set_dpi_ratio\", {'dpi_ratio': mpl.ratio});\n", " }\n", " fig.send_message(\"refresh\", {});\n", " }\n", "\n", " this.imageObj.onload = function() {\n", " if (fig.image_mode == 'full') {\n", " // Full images could contain transparency (where diff images\n", " // almost always do), so we need to clear the canvas so that\n", " // there is no ghosting.\n", " fig.context.clearRect(0, 0, fig.canvas.width, fig.canvas.height);\n", " }\n", " fig.context.drawImage(fig.imageObj, 0, 0);\n", " };\n", "\n", " this.imageObj.onunload = function() {\n", " fig.ws.close();\n", " }\n", "\n", " this.ws.onmessage = this._make_on_message_function(this);\n", "\n", " this.ondownload = ondownload;\n", "}\n", "\n", "mpl.figure.prototype._init_header = function() {\n", " var titlebar = $(\n", " '<div class=\"ui-dialog-titlebar ui-widget-header ui-corner-all ' +\n", " 'ui-helper-clearfix\"/>');\n", " var titletext = $(\n", " '<div class=\"ui-dialog-title\" style=\"width: 100%; ' +\n", " 'text-align: center; padding: 3px;\"/>');\n", " titlebar.append(titletext)\n", " this.root.append(titlebar);\n", " this.header = titletext[0];\n", "}\n", "\n", "\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "\n", "mpl.figure.prototype._root_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "mpl.figure.prototype._init_canvas = function() {\n", " var fig = this;\n", "\n", " var canvas_div = $('<div/>');\n", "\n", " canvas_div.attr('style', 'position: relative; clear: both; outline: 0');\n", "\n", " function canvas_keyboard_event(event) {\n", " return fig.key_event(event, event['data']);\n", " }\n", "\n", " canvas_div.keydown('key_press', canvas_keyboard_event);\n", " canvas_div.keyup('key_release', canvas_keyboard_event);\n", " this.canvas_div = canvas_div\n", " this._canvas_extra_style(canvas_div)\n", " this.root.append(canvas_div);\n", "\n", " var canvas = $('<canvas/>');\n", " canvas.addClass('mpl-canvas');\n", " canvas.attr('style', \"left: 0; top: 0; z-index: 0; outline: 0\")\n", "\n", " this.canvas = canvas[0];\n", " this.context = canvas[0].getContext(\"2d\");\n", "\n", " var backingStore = this.context.backingStorePixelRatio ||\n", "\tthis.context.webkitBackingStorePixelRatio ||\n", "\tthis.context.mozBackingStorePixelRatio ||\n", "\tthis.context.msBackingStorePixelRatio ||\n", "\tthis.context.oBackingStorePixelRatio ||\n", "\tthis.context.backingStorePixelRatio || 1;\n", "\n", " mpl.ratio = (window.devicePixelRatio || 1) / backingStore;\n", "\n", " var rubberband = $('<canvas/>');\n", " rubberband.attr('style', \"position: absolute; left: 0; top: 0; z-index: 1;\")\n", "\n", " var pass_mouse_events = true;\n", "\n", " canvas_div.resizable({\n", " start: function(event, ui) {\n", " pass_mouse_events = false;\n", " },\n", " resize: function(event, ui) {\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " stop: function(event, ui) {\n", " pass_mouse_events = true;\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " });\n", "\n", " function mouse_event_fn(event) {\n", " if (pass_mouse_events)\n", " return fig.mouse_event(event, event['data']);\n", " }\n", "\n", " rubberband.mousedown('button_press', mouse_event_fn);\n", " rubberband.mouseup('button_release', mouse_event_fn);\n", " // Throttle sequential mouse events to 1 every 20ms.\n", " rubberband.mousemove('motion_notify', mouse_event_fn);\n", "\n", " rubberband.mouseenter('figure_enter', mouse_event_fn);\n", " rubberband.mouseleave('figure_leave', mouse_event_fn);\n", "\n", " canvas_div.on(\"wheel\", function (event) {\n", " event = event.originalEvent;\n", " event['data'] = 'scroll'\n", " if (event.deltaY < 0) {\n", " event.step = 1;\n", " } else {\n", " event.step = -1;\n", " }\n", " mouse_event_fn(event);\n", " });\n", "\n", " canvas_div.append(canvas);\n", " canvas_div.append(rubberband);\n", "\n", " this.rubberband = rubberband;\n", " this.rubberband_canvas = rubberband[0];\n", " this.rubberband_context = rubberband[0].getContext(\"2d\");\n", " this.rubberband_context.strokeStyle = \"#000000\";\n", "\n", " this._resize_canvas = function(width, height) {\n", " // Keep the size of the canvas, canvas container, and rubber band\n", " // canvas in synch.\n", " canvas_div.css('width', width)\n", " canvas_div.css('height', height)\n", "\n", " canvas.attr('width', width * mpl.ratio);\n", " canvas.attr('height', height * mpl.ratio);\n", " canvas.attr('style', 'width: ' + width + 'px; height: ' + height + 'px;');\n", "\n", " rubberband.attr('width', width);\n", " rubberband.attr('height', height);\n", " }\n", "\n", " // Set the figure to an initial 600x600px, this will subsequently be updated\n", " // upon first draw.\n", " this._resize_canvas(600, 600);\n", "\n", " // Disable right mouse context menu.\n", " $(this.rubberband_canvas).bind(\"contextmenu\",function(e){\n", " return false;\n", " });\n", "\n", " function set_focus () {\n", " canvas.focus();\n", " canvas_div.focus();\n", " }\n", "\n", " window.setTimeout(set_focus, 100);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items) {\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) {\n", " // put a spacer in here.\n", " continue;\n", " }\n", " var button = $('<button/>');\n", " button.addClass('ui-button ui-widget ui-state-default ui-corner-all ' +\n", " 'ui-button-icon-only');\n", " button.attr('role', 'button');\n", " button.attr('aria-disabled', 'false');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", "\n", " var icon_img = $('<span/>');\n", " icon_img.addClass('ui-button-icon-primary ui-icon');\n", " icon_img.addClass(image);\n", " icon_img.addClass('ui-corner-all');\n", "\n", " var tooltip_span = $('<span/>');\n", " tooltip_span.addClass('ui-button-text');\n", " tooltip_span.html(tooltip);\n", "\n", " button.append(icon_img);\n", " button.append(tooltip_span);\n", "\n", " nav_element.append(button);\n", " }\n", "\n", " var fmt_picker_span = $('<span/>');\n", "\n", " var fmt_picker = $('<select/>');\n", " fmt_picker.addClass('mpl-toolbar-option ui-widget ui-widget-content');\n", " fmt_picker_span.append(fmt_picker);\n", " nav_element.append(fmt_picker_span);\n", " this.format_dropdown = fmt_picker[0];\n", "\n", " for (var ind in mpl.extensions) {\n", " var fmt = mpl.extensions[ind];\n", " var option = $(\n", " '<option/>', {selected: fmt === mpl.default_extension}).html(fmt);\n", " fmt_picker.append(option)\n", " }\n", "\n", " // Add hover states to the ui-buttons\n", " $( \".ui-button\" ).hover(\n", " function() { $(this).addClass(\"ui-state-hover\");},\n", " function() { $(this).removeClass(\"ui-state-hover\");}\n", " );\n", "\n", " var status_bar = $('<span class=\"mpl-message\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "}\n", "\n", "mpl.figure.prototype.request_resize = function(x_pixels, y_pixels) {\n", " // Request matplotlib to resize the figure. Matplotlib will then trigger a resize in the client,\n", " // which will in turn request a refresh of the image.\n", " this.send_message('resize', {'width': x_pixels, 'height': y_pixels});\n", "}\n", "\n", "mpl.figure.prototype.send_message = function(type, properties) {\n", " properties['type'] = type;\n", " properties['figure_id'] = this.id;\n", " this.ws.send(JSON.stringify(properties));\n", "}\n", "\n", "mpl.figure.prototype.send_draw_message = function() {\n", " if (!this.waiting) {\n", " this.waiting = true;\n", " this.ws.send(JSON.stringify({type: \"draw\", figure_id: this.id}));\n", " }\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " var format_dropdown = fig.format_dropdown;\n", " var format = format_dropdown.options[format_dropdown.selectedIndex].value;\n", " fig.ondownload(fig, format);\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_resize = function(fig, msg) {\n", " var size = msg['size'];\n", " if (size[0] != fig.canvas.width || size[1] != fig.canvas.height) {\n", " fig._resize_canvas(size[0], size[1]);\n", " fig.send_message(\"refresh\", {});\n", " };\n", "}\n", "\n", "mpl.figure.prototype.handle_rubberband = function(fig, msg) {\n", " var x0 = msg['x0'] / mpl.ratio;\n", " var y0 = (fig.canvas.height - msg['y0']) / mpl.ratio;\n", " var x1 = msg['x1'] / mpl.ratio;\n", " var y1 = (fig.canvas.height - msg['y1']) / mpl.ratio;\n", " x0 = Math.floor(x0) + 0.5;\n", " y0 = Math.floor(y0) + 0.5;\n", " x1 = Math.floor(x1) + 0.5;\n", " y1 = Math.floor(y1) + 0.5;\n", " var min_x = Math.min(x0, x1);\n", " var min_y = Math.min(y0, y1);\n", " var width = Math.abs(x1 - x0);\n", " var height = Math.abs(y1 - y0);\n", "\n", " fig.rubberband_context.clearRect(\n", " 0, 0, fig.canvas.width, fig.canvas.height);\n", "\n", " fig.rubberband_context.strokeRect(min_x, min_y, width, height);\n", "}\n", "\n", "mpl.figure.prototype.handle_figure_label = function(fig, msg) {\n", " // Updates the figure title.\n", " fig.header.textContent = msg['label'];\n", "}\n", "\n", "mpl.figure.prototype.handle_cursor = function(fig, msg) {\n", " var cursor = msg['cursor'];\n", " switch(cursor)\n", " {\n", " case 0:\n", " cursor = 'pointer';\n", " break;\n", " case 1:\n", " cursor = 'default';\n", " break;\n", " case 2:\n", " cursor = 'crosshair';\n", " break;\n", " case 3:\n", " cursor = 'move';\n", " break;\n", " }\n", " fig.rubberband_canvas.style.cursor = cursor;\n", "}\n", "\n", "mpl.figure.prototype.handle_message = function(fig, msg) {\n", " fig.message.textContent = msg['message'];\n", "}\n", "\n", "mpl.figure.prototype.handle_draw = function(fig, msg) {\n", " // Request the server to send over a new figure.\n", " fig.send_draw_message();\n", "}\n", "\n", "mpl.figure.prototype.handle_image_mode = function(fig, msg) {\n", " fig.image_mode = msg['mode'];\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Called whenever the canvas gets updated.\n", " this.send_message(\"ack\", {});\n", "}\n", "\n", "// A function to construct a web socket function for onmessage handling.\n", "// Called in the figure constructor.\n", "mpl.figure.prototype._make_on_message_function = function(fig) {\n", " return function socket_on_message(evt) {\n", " if (evt.data instanceof Blob) {\n", " /* FIXME: We get \"Resource interpreted as Image but\n", " * transferred with MIME type text/plain:\" errors on\n", " * Chrome. But how to set the MIME type? It doesn't seem\n", " * to be part of the websocket stream */\n", " evt.data.type = \"image/png\";\n", "\n", " /* Free the memory for the previous frames */\n", " if (fig.imageObj.src) {\n", " (window.URL || window.webkitURL).revokeObjectURL(\n", " fig.imageObj.src);\n", " }\n", "\n", " fig.imageObj.src = (window.URL || window.webkitURL).createObjectURL(\n", " evt.data);\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", " else if (typeof evt.data === 'string' && evt.data.slice(0, 21) == \"data:image/png;base64\") {\n", " fig.imageObj.src = evt.data;\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", "\n", " var msg = JSON.parse(evt.data);\n", " var msg_type = msg['type'];\n", "\n", " // Call the \"handle_{type}\" callback, which takes\n", " // the figure and JSON message as its only arguments.\n", " try {\n", " var callback = fig[\"handle_\" + msg_type];\n", " } catch (e) {\n", " console.log(\"No handler for the '\" + msg_type + \"' message type: \", msg);\n", " return;\n", " }\n", "\n", " if (callback) {\n", " try {\n", " // console.log(\"Handling '\" + msg_type + \"' message: \", msg);\n", " callback(fig, msg);\n", " } catch (e) {\n", " console.log(\"Exception inside the 'handler_\" + msg_type + \"' callback:\", e, e.stack, msg);\n", " }\n", " }\n", " };\n", "}\n", "\n", "// from http://stackoverflow.com/questions/1114465/getting-mouse-location-in-canvas\n", "mpl.findpos = function(e) {\n", " //this section is from http://www.quirksmode.org/js/events_properties.html\n", " var targ;\n", " if (!e)\n", " e = window.event;\n", " if (e.target)\n", " targ = e.target;\n", " else if (e.srcElement)\n", " targ = e.srcElement;\n", " if (targ.nodeType == 3) // defeat Safari bug\n", " targ = targ.parentNode;\n", "\n", " // jQuery normalizes the pageX and pageY\n", " // pageX,Y are the mouse positions relative to the document\n", " // offset() returns the position of the element relative to the document\n", " var x = e.pageX - $(targ).offset().left;\n", " var y = e.pageY - $(targ).offset().top;\n", "\n", " return {\"x\": x, \"y\": y};\n", "};\n", "\n", "/*\n", " * return a copy of an object with only non-object keys\n", " * we need this to avoid circular references\n", " * http://stackoverflow.com/a/24161582/3208463\n", " */\n", "function simpleKeys (original) {\n", " return Object.keys(original).reduce(function (obj, key) {\n", " if (typeof original[key] !== 'object')\n", " obj[key] = original[key]\n", " return obj;\n", " }, {});\n", "}\n", "\n", "mpl.figure.prototype.mouse_event = function(event, name) {\n", " var canvas_pos = mpl.findpos(event)\n", "\n", " if (name === 'button_press')\n", " {\n", " this.canvas.focus();\n", " this.canvas_div.focus();\n", " }\n", "\n", " var x = canvas_pos.x * mpl.ratio;\n", " var y = canvas_pos.y * mpl.ratio;\n", "\n", " this.send_message(name, {x: x, y: y, button: event.button,\n", " step: event.step,\n", " guiEvent: simpleKeys(event)});\n", "\n", " /* This prevents the web browser from automatically changing to\n", " * the text insertion cursor when the button is pressed. We want\n", " * to control all of the cursor setting manually through the\n", " * 'cursor' event from matplotlib */\n", " event.preventDefault();\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " // Handle any extra behaviour associated with a key event\n", "}\n", "\n", "mpl.figure.prototype.key_event = function(event, name) {\n", "\n", " // Prevent repeat events\n", " if (name == 'key_press')\n", " {\n", " if (event.which === this._key)\n", " return;\n", " else\n", " this._key = event.which;\n", " }\n", " if (name == 'key_release')\n", " this._key = null;\n", "\n", " var value = '';\n", " if (event.ctrlKey && event.which != 17)\n", " value += \"ctrl+\";\n", " if (event.altKey && event.which != 18)\n", " value += \"alt+\";\n", " if (event.shiftKey && event.which != 16)\n", " value += \"shift+\";\n", "\n", " value += 'k';\n", " value += event.which.toString();\n", "\n", " this._key_event_extra(event, name);\n", "\n", " this.send_message(name, {key: value,\n", " guiEvent: simpleKeys(event)});\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype.toolbar_button_onclick = function(name) {\n", " if (name == 'download') {\n", " this.handle_save(this, null);\n", " } else {\n", " this.send_message(\"toolbar_button\", {name: name});\n", " }\n", "};\n", "\n", "mpl.figure.prototype.toolbar_button_onmouseover = function(tooltip) {\n", " this.message.textContent = tooltip;\n", "};\n", "mpl.toolbar_items = [[\"Home\", \"Reset original view\", \"fa fa-home icon-home\", \"home\"], [\"Back\", \"Back to previous view\", \"fa fa-arrow-left icon-arrow-left\", \"back\"], [\"Forward\", \"Forward to next view\", \"fa fa-arrow-right icon-arrow-right\", \"forward\"], [\"\", \"\", \"\", \"\"], [\"Pan\", \"Pan axes with left mouse, zoom with right\", \"fa fa-arrows icon-move\", \"pan\"], [\"Zoom\", \"Zoom to rectangle\", \"fa fa-square-o icon-check-empty\", \"zoom\"], [\"\", \"\", \"\", \"\"], [\"Download\", \"Download plot\", \"fa fa-floppy-o icon-save\", \"download\"]];\n", "\n", "mpl.extensions = [\"eps\", \"jpeg\", \"pdf\", \"png\", \"ps\", \"raw\", \"svg\", \"tif\"];\n", "\n", "mpl.default_extension = \"png\";var comm_websocket_adapter = function(comm) {\n", " // Create a \"websocket\"-like object which calls the given IPython comm\n", " // object with the appropriate methods. Currently this is a non binary\n", " // socket, so there is still some room for performance tuning.\n", " var ws = {};\n", "\n", " ws.close = function() {\n", " comm.close()\n", " };\n", " ws.send = function(m) {\n", " //console.log('sending', m);\n", " comm.send(m);\n", " };\n", " // Register the callback with on_msg.\n", " comm.on_msg(function(msg) {\n", " //console.log('receiving', msg['content']['data'], msg);\n", " // Pass the mpl event to the overridden (by mpl) onmessage function.\n", " ws.onmessage(msg['content']['data'])\n", " });\n", " return ws;\n", "}\n", "\n", "mpl.mpl_figure_comm = function(comm, msg) {\n", " // This is the function which gets called when the mpl process\n", " // starts-up an IPython Comm through the \"matplotlib\" channel.\n", "\n", " var id = msg.content.data.id;\n", " // Get hold of the div created by the display call when the Comm\n", " // socket was opened in Python.\n", " var element = $(\"#\" + id);\n", " var ws_proxy = comm_websocket_adapter(comm)\n", "\n", " function ondownload(figure, format) {\n", " window.open(figure.imageObj.src);\n", " }\n", "\n", " var fig = new mpl.figure(id, ws_proxy,\n", " ondownload,\n", " element.get(0));\n", "\n", " // Call onopen now - mpl needs it, as it is assuming we've passed it a real\n", " // web socket which is closed, not our websocket->open comm proxy.\n", " ws_proxy.onopen();\n", "\n", " fig.parent_element = element.get(0);\n", " fig.cell_info = mpl.find_output_cell(\"<div id='\" + id + \"'></div>\");\n", " if (!fig.cell_info) {\n", " console.error(\"Failed to find cell for figure\", id, fig);\n", " return;\n", " }\n", "\n", " var output_index = fig.cell_info[2]\n", " var cell = fig.cell_info[0];\n", "\n", "};\n", "\n", "mpl.figure.prototype.handle_close = function(fig, msg) {\n", " var width = fig.canvas.width/mpl.ratio\n", " fig.root.unbind('remove')\n", "\n", " // Update the output cell to use the data from the current canvas.\n", " fig.push_to_output();\n", " var dataURL = fig.canvas.toDataURL();\n", " // Re-enable the keyboard manager in IPython - without this line, in FF,\n", " // the notebook keyboard shortcuts fail.\n", " IPython.keyboard_manager.enable()\n", " $(fig.parent_element).html('<img src=\"' + dataURL + '\" width=\"' + width + '\">');\n", " fig.close_ws(fig, msg);\n", "}\n", "\n", "mpl.figure.prototype.close_ws = function(fig, msg){\n", " fig.send_message('closing', msg);\n", " // fig.ws.close()\n", "}\n", "\n", "mpl.figure.prototype.push_to_output = function(remove_interactive) {\n", " // Turn the data on the canvas into data in the output cell.\n", " var width = this.canvas.width/mpl.ratio\n", " var dataURL = this.canvas.toDataURL();\n", " this.cell_info[1]['text/html'] = '<img src=\"' + dataURL + '\" width=\"' + width + '\">';\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Tell IPython that the notebook contents must change.\n", " IPython.notebook.set_dirty(true);\n", " this.send_message(\"ack\", {});\n", " var fig = this;\n", " // Wait a second, then push the new image to the DOM so\n", " // that it is saved nicely (might be nice to debounce this).\n", " setTimeout(function () { fig.push_to_output() }, 1000);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items){\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) { continue; };\n", "\n", " var button = $('<button class=\"btn btn-default\" href=\"#\" title=\"' + name + '\"><i class=\"fa ' + image + ' fa-lg\"></i></button>');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", " nav_element.append(button);\n", " }\n", "\n", " // Add the status bar.\n", " var status_bar = $('<span class=\"mpl-message\" style=\"text-align:right; float: right;\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "\n", " // Add the close button to the window.\n", " var buttongrp = $('<div class=\"btn-group inline pull-right\"></div>');\n", " var button = $('<button class=\"btn btn-mini btn-primary\" href=\"#\" title=\"Stop Interaction\"><i class=\"fa fa-power-off icon-remove icon-large\"></i></button>');\n", " button.click(function (evt) { fig.handle_close(fig, {}); } );\n", " button.mouseover('Stop Interaction', toolbar_mouse_event);\n", " buttongrp.append(button);\n", " var titlebar = this.root.find($('.ui-dialog-titlebar'));\n", " titlebar.prepend(buttongrp);\n", "}\n", "\n", "mpl.figure.prototype._root_extra_style = function(el){\n", " var fig = this\n", " el.on(\"remove\", function(){\n", "\tfig.close_ws(fig, {});\n", " });\n", "}\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(el){\n", " // this is important to make the div 'focusable\n", " el.attr('tabindex', 0)\n", " // reach out to IPython and tell the keyboard manager to turn it's self\n", " // off when our div gets focus\n", "\n", " // location in version 3\n", " if (IPython.notebook.keyboard_manager) {\n", " IPython.notebook.keyboard_manager.register_events(el);\n", " }\n", " else {\n", " // location in version 2\n", " IPython.keyboard_manager.register_events(el);\n", " }\n", "\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " var manager = IPython.notebook.keyboard_manager;\n", " if (!manager)\n", " manager = IPython.keyboard_manager;\n", "\n", " // Check for shift+enter\n", " if (event.shiftKey && event.which == 13) {\n", " this.canvas_div.blur();\n", " event.shiftKey = false;\n", " // Send a \"J\" for go to next cell\n", " event.which = 74;\n", " event.keyCode = 74;\n", " manager.command_mode();\n", " manager.handle_keydown(event);\n", " }\n", "}\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " fig.ondownload(fig, null);\n", "}\n", "\n", "\n", "mpl.find_output_cell = function(html_output) {\n", " // Return the cell and output element which can be found *uniquely* in the notebook.\n", " // Note - this is a bit hacky, but it is done because the \"notebook_saving.Notebook\"\n", " // IPython event is triggered only after the cells have been serialised, which for\n", " // our purposes (turning an active figure into a static one), is too late.\n", " var cells = IPython.notebook.get_cells();\n", " var ncells = cells.length;\n", " for (var i=0; i<ncells; i++) {\n", " var cell = cells[i];\n", " if (cell.cell_type === 'code'){\n", " for (var j=0; j<cell.output_area.outputs.length; j++) {\n", " var data = cell.output_area.outputs[j];\n", " if (data.data) {\n", " // IPython >= 3 moved mimebundle to data attribute of output\n", " data = data.data;\n", " }\n", " if (data['text/html'] == html_output) {\n", " return [cell, data, j];\n", " }\n", " }\n", " }\n", " }\n", "}\n", "\n", "// Register the function which deals with the matplotlib target/channel.\n", "// The kernel may be null if the page has been refreshed.\n", "if (IPython.notebook.kernel != null) {\n", " IPython.notebook.kernel.comm_manager.register_target('matplotlib', mpl.mpl_figure_comm);\n", "}\n" ], "text/plain": [ "<IPython.core.display.Javascript object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<img src=\"data:image/png;base64,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\" width=\"800\">" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "grid = hello_quantum.pauli_grid(mode='line')\n", "grid.update_grid()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The `run_game` function, can also be used to implement custom 'Hello Quantum' games within a notebook. This is called with\n", "\n", "`hello_quantum.run_game(initialize, success_condition, allowed_gates, vi, qubit_names)`\n", "\n", "where the arguments set up the puzzle by specifying the following information.\n", "\n", "`initialize`\n", "* List of gates applied to the initial `00` state to get the starting state of the puzzle.\n", "* Supported single qubit gates (applied to qubit '0' or '1') are 'x', 'y', 'z', 'h', 'ry(pi/4)'\n", "* Supported two qubit gates are 'cz' and 'cx'. For these, specify only the target qubit.\n", "* Example: `initialize = [['x', '0'],['cx', '1']]`\n", "\n", "`success_condition`\n", "* Values for pauli observables that must be obtained for the puzzle to declare success.\n", "* Example: `success_condition = {'IZ': 1.0}`\n", "\n", "`allowed_gates`\n", "* For each qubit, specify which operations are allowed in this puzzle.\n", "* For operations that don't need a qubit to be specified ('cz' and 'unbloch'), assign the operation to 'both' instead of qubit '0' or '1'.\n", "* Gates are expressed as a dict with an int as value.\n", " * If this is non-zero, it specifies the exact number of times the gate must be used for the puzzle to be successfully solved.\n", " * If it is zero, the player can use the gate any number of times.\n", "* Example: `allowed_gates = {'0': {'h':0}, '1': {'h':0}, 'both': {'cz': 1}}`\n", "\n", "`vi`\n", "* Some visualization information as a three element list. These specify:\n", " * Which qubits are hidden (empty list if both shown).\n", " * Whether both circles shown for each qubit? (use `True` for qubit puzzles and `False` for bit puzzles).\n", " * Whether the correlation circles (the four in the middle) are shown.\n", "* Example: `vi = [[], True, True]`\n", "\n", "`qubit_names`\n", "* The two qubits are always called '0' and '1' internally. But for the player, we can display different names.\n", "* Example: `qubit_names = {'0':'qubit 0', '1':'qubit 1'}`\n", "\n", "The puzzle defined by the examples given here can be run in the following cell. See also the many examples in the [Hello_Qiskit](../Hello_Qiskit.ipynb) notebook." ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "application/javascript": [ "/* Put everything inside the global mpl namespace */\n", "window.mpl = {};\n", "\n", "\n", "mpl.get_websocket_type = function() {\n", " if (typeof(WebSocket) !== 'undefined') {\n", " return WebSocket;\n", " } else if (typeof(MozWebSocket) !== 'undefined') {\n", " return MozWebSocket;\n", " } else {\n", " alert('Your browser does not have WebSocket support.' +\n", " 'Please try Chrome, Safari or Firefox ≥ 6. ' +\n", " 'Firefox 4 and 5 are also supported but you ' +\n", " 'have to enable WebSockets in about:config.');\n", " };\n", "}\n", "\n", "mpl.figure = function(figure_id, websocket, ondownload, parent_element) {\n", " this.id = figure_id;\n", "\n", " this.ws = websocket;\n", "\n", " this.supports_binary = (this.ws.binaryType != undefined);\n", "\n", " if (!this.supports_binary) {\n", " var warnings = document.getElementById(\"mpl-warnings\");\n", " if (warnings) {\n", " warnings.style.display = 'block';\n", " warnings.textContent = (\n", " \"This browser does not support binary websocket messages. \" +\n", " \"Performance may be slow.\");\n", " }\n", " }\n", "\n", " this.imageObj = new Image();\n", "\n", " this.context = undefined;\n", " this.message = undefined;\n", " this.canvas = undefined;\n", " this.rubberband_canvas = undefined;\n", " this.rubberband_context = undefined;\n", " this.format_dropdown = undefined;\n", "\n", " this.image_mode = 'full';\n", "\n", " this.root = $('<div/>');\n", " this._root_extra_style(this.root)\n", " this.root.attr('style', 'display: inline-block');\n", "\n", " $(parent_element).append(this.root);\n", "\n", " this._init_header(this);\n", " this._init_canvas(this);\n", " this._init_toolbar(this);\n", "\n", " var fig = this;\n", "\n", " this.waiting = false;\n", "\n", " this.ws.onopen = function () {\n", " fig.send_message(\"supports_binary\", {value: fig.supports_binary});\n", " fig.send_message(\"send_image_mode\", {});\n", " if (mpl.ratio != 1) {\n", " fig.send_message(\"set_dpi_ratio\", {'dpi_ratio': mpl.ratio});\n", " }\n", " fig.send_message(\"refresh\", {});\n", " }\n", "\n", " this.imageObj.onload = function() {\n", " if (fig.image_mode == 'full') {\n", " // Full images could contain transparency (where diff images\n", " // almost always do), so we need to clear the canvas so that\n", " // there is no ghosting.\n", " fig.context.clearRect(0, 0, fig.canvas.width, fig.canvas.height);\n", " }\n", " fig.context.drawImage(fig.imageObj, 0, 0);\n", " };\n", "\n", " this.imageObj.onunload = function() {\n", " fig.ws.close();\n", " }\n", "\n", " this.ws.onmessage = this._make_on_message_function(this);\n", "\n", " this.ondownload = ondownload;\n", "}\n", "\n", "mpl.figure.prototype._init_header = function() {\n", " var titlebar = $(\n", " '<div class=\"ui-dialog-titlebar ui-widget-header ui-corner-all ' +\n", " 'ui-helper-clearfix\"/>');\n", " var titletext = $(\n", " '<div class=\"ui-dialog-title\" style=\"width: 100%; ' +\n", " 'text-align: center; padding: 3px;\"/>');\n", " titlebar.append(titletext)\n", " this.root.append(titlebar);\n", " this.header = titletext[0];\n", "}\n", "\n", "\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "\n", "mpl.figure.prototype._root_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "mpl.figure.prototype._init_canvas = function() {\n", " var fig = this;\n", "\n", " var canvas_div = $('<div/>');\n", "\n", " canvas_div.attr('style', 'position: relative; clear: both; outline: 0');\n", "\n", " function canvas_keyboard_event(event) {\n", " return fig.key_event(event, event['data']);\n", " }\n", "\n", " canvas_div.keydown('key_press', canvas_keyboard_event);\n", " canvas_div.keyup('key_release', canvas_keyboard_event);\n", " this.canvas_div = canvas_div\n", " this._canvas_extra_style(canvas_div)\n", " this.root.append(canvas_div);\n", "\n", " var canvas = $('<canvas/>');\n", " canvas.addClass('mpl-canvas');\n", " canvas.attr('style', \"left: 0; top: 0; z-index: 0; outline: 0\")\n", "\n", " this.canvas = canvas[0];\n", " this.context = canvas[0].getContext(\"2d\");\n", "\n", " var backingStore = this.context.backingStorePixelRatio ||\n", "\tthis.context.webkitBackingStorePixelRatio ||\n", "\tthis.context.mozBackingStorePixelRatio ||\n", "\tthis.context.msBackingStorePixelRatio ||\n", "\tthis.context.oBackingStorePixelRatio ||\n", "\tthis.context.backingStorePixelRatio || 1;\n", "\n", " mpl.ratio = (window.devicePixelRatio || 1) / backingStore;\n", "\n", " var rubberband = $('<canvas/>');\n", " rubberband.attr('style', \"position: absolute; left: 0; top: 0; z-index: 1;\")\n", "\n", " var pass_mouse_events = true;\n", "\n", " canvas_div.resizable({\n", " start: function(event, ui) {\n", " pass_mouse_events = false;\n", " },\n", " resize: function(event, ui) {\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " stop: function(event, ui) {\n", " pass_mouse_events = true;\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " });\n", "\n", " function mouse_event_fn(event) {\n", " if (pass_mouse_events)\n", " return fig.mouse_event(event, event['data']);\n", " }\n", "\n", " rubberband.mousedown('button_press', mouse_event_fn);\n", " rubberband.mouseup('button_release', mouse_event_fn);\n", " // Throttle sequential mouse events to 1 every 20ms.\n", " rubberband.mousemove('motion_notify', mouse_event_fn);\n", "\n", " rubberband.mouseenter('figure_enter', mouse_event_fn);\n", " rubberband.mouseleave('figure_leave', mouse_event_fn);\n", "\n", " canvas_div.on(\"wheel\", function (event) {\n", " event = event.originalEvent;\n", " event['data'] = 'scroll'\n", " if (event.deltaY < 0) {\n", " event.step = 1;\n", " } else {\n", " event.step = -1;\n", " }\n", " mouse_event_fn(event);\n", " });\n", "\n", " canvas_div.append(canvas);\n", " canvas_div.append(rubberband);\n", "\n", " this.rubberband = rubberband;\n", " this.rubberband_canvas = rubberband[0];\n", " this.rubberband_context = rubberband[0].getContext(\"2d\");\n", " this.rubberband_context.strokeStyle = \"#000000\";\n", "\n", " this._resize_canvas = function(width, height) {\n", " // Keep the size of the canvas, canvas container, and rubber band\n", " // canvas in synch.\n", " canvas_div.css('width', width)\n", " canvas_div.css('height', height)\n", "\n", " canvas.attr('width', width * mpl.ratio);\n", " canvas.attr('height', height * mpl.ratio);\n", " canvas.attr('style', 'width: ' + width + 'px; height: ' + height + 'px;');\n", "\n", " rubberband.attr('width', width);\n", " rubberband.attr('height', height);\n", " }\n", "\n", " // Set the figure to an initial 600x600px, this will subsequently be updated\n", " // upon first draw.\n", " this._resize_canvas(600, 600);\n", "\n", " // Disable right mouse context menu.\n", " $(this.rubberband_canvas).bind(\"contextmenu\",function(e){\n", " return false;\n", " });\n", "\n", " function set_focus () {\n", " canvas.focus();\n", " canvas_div.focus();\n", " }\n", "\n", " window.setTimeout(set_focus, 100);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items) {\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) {\n", " // put a spacer in here.\n", " continue;\n", " }\n", " var button = $('<button/>');\n", " button.addClass('ui-button ui-widget ui-state-default ui-corner-all ' +\n", " 'ui-button-icon-only');\n", " button.attr('role', 'button');\n", " button.attr('aria-disabled', 'false');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", "\n", " var icon_img = $('<span/>');\n", " icon_img.addClass('ui-button-icon-primary ui-icon');\n", " icon_img.addClass(image);\n", " icon_img.addClass('ui-corner-all');\n", "\n", " var tooltip_span = $('<span/>');\n", " tooltip_span.addClass('ui-button-text');\n", " tooltip_span.html(tooltip);\n", "\n", " button.append(icon_img);\n", " button.append(tooltip_span);\n", "\n", " nav_element.append(button);\n", " }\n", "\n", " var fmt_picker_span = $('<span/>');\n", "\n", " var fmt_picker = $('<select/>');\n", " fmt_picker.addClass('mpl-toolbar-option ui-widget ui-widget-content');\n", " fmt_picker_span.append(fmt_picker);\n", " nav_element.append(fmt_picker_span);\n", " this.format_dropdown = fmt_picker[0];\n", "\n", " for (var ind in mpl.extensions) {\n", " var fmt = mpl.extensions[ind];\n", " var option = $(\n", " '<option/>', {selected: fmt === mpl.default_extension}).html(fmt);\n", " fmt_picker.append(option)\n", " }\n", "\n", " // Add hover states to the ui-buttons\n", " $( \".ui-button\" ).hover(\n", " function() { $(this).addClass(\"ui-state-hover\");},\n", " function() { $(this).removeClass(\"ui-state-hover\");}\n", " );\n", "\n", " var status_bar = $('<span class=\"mpl-message\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "}\n", "\n", "mpl.figure.prototype.request_resize = function(x_pixels, y_pixels) {\n", " // Request matplotlib to resize the figure. Matplotlib will then trigger a resize in the client,\n", " // which will in turn request a refresh of the image.\n", " this.send_message('resize', {'width': x_pixels, 'height': y_pixels});\n", "}\n", "\n", "mpl.figure.prototype.send_message = function(type, properties) {\n", " properties['type'] = type;\n", " properties['figure_id'] = this.id;\n", " this.ws.send(JSON.stringify(properties));\n", "}\n", "\n", "mpl.figure.prototype.send_draw_message = function() {\n", " if (!this.waiting) {\n", " this.waiting = true;\n", " this.ws.send(JSON.stringify({type: \"draw\", figure_id: this.id}));\n", " }\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " var format_dropdown = fig.format_dropdown;\n", " var format = format_dropdown.options[format_dropdown.selectedIndex].value;\n", " fig.ondownload(fig, format);\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_resize = function(fig, msg) {\n", " var size = msg['size'];\n", " if (size[0] != fig.canvas.width || size[1] != fig.canvas.height) {\n", " fig._resize_canvas(size[0], size[1]);\n", " fig.send_message(\"refresh\", {});\n", " };\n", "}\n", "\n", "mpl.figure.prototype.handle_rubberband = function(fig, msg) {\n", " var x0 = msg['x0'] / mpl.ratio;\n", " var y0 = (fig.canvas.height - msg['y0']) / mpl.ratio;\n", " var x1 = msg['x1'] / mpl.ratio;\n", " var y1 = (fig.canvas.height - msg['y1']) / mpl.ratio;\n", " x0 = Math.floor(x0) + 0.5;\n", " y0 = Math.floor(y0) + 0.5;\n", " x1 = Math.floor(x1) + 0.5;\n", " y1 = Math.floor(y1) + 0.5;\n", " var min_x = Math.min(x0, x1);\n", " var min_y = Math.min(y0, y1);\n", " var width = Math.abs(x1 - x0);\n", " var height = Math.abs(y1 - y0);\n", "\n", " fig.rubberband_context.clearRect(\n", " 0, 0, fig.canvas.width, fig.canvas.height);\n", "\n", " fig.rubberband_context.strokeRect(min_x, min_y, width, height);\n", "}\n", "\n", "mpl.figure.prototype.handle_figure_label = function(fig, msg) {\n", " // Updates the figure title.\n", " fig.header.textContent = msg['label'];\n", "}\n", "\n", "mpl.figure.prototype.handle_cursor = function(fig, msg) {\n", " var cursor = msg['cursor'];\n", " switch(cursor)\n", " {\n", " case 0:\n", " cursor = 'pointer';\n", " break;\n", " case 1:\n", " cursor = 'default';\n", " break;\n", " case 2:\n", " cursor = 'crosshair';\n", " break;\n", " case 3:\n", " cursor = 'move';\n", " break;\n", " }\n", " fig.rubberband_canvas.style.cursor = cursor;\n", "}\n", "\n", "mpl.figure.prototype.handle_message = function(fig, msg) {\n", " fig.message.textContent = msg['message'];\n", "}\n", "\n", "mpl.figure.prototype.handle_draw = function(fig, msg) {\n", " // Request the server to send over a new figure.\n", " fig.send_draw_message();\n", "}\n", "\n", "mpl.figure.prototype.handle_image_mode = function(fig, msg) {\n", " fig.image_mode = msg['mode'];\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Called whenever the canvas gets updated.\n", " this.send_message(\"ack\", {});\n", "}\n", "\n", "// A function to construct a web socket function for onmessage handling.\n", "// Called in the figure constructor.\n", "mpl.figure.prototype._make_on_message_function = function(fig) {\n", " return function socket_on_message(evt) {\n", " if (evt.data instanceof Blob) {\n", " /* FIXME: We get \"Resource interpreted as Image but\n", " * transferred with MIME type text/plain:\" errors on\n", " * Chrome. But how to set the MIME type? It doesn't seem\n", " * to be part of the websocket stream */\n", " evt.data.type = \"image/png\";\n", "\n", " /* Free the memory for the previous frames */\n", " if (fig.imageObj.src) {\n", " (window.URL || window.webkitURL).revokeObjectURL(\n", " fig.imageObj.src);\n", " }\n", "\n", " fig.imageObj.src = (window.URL || window.webkitURL).createObjectURL(\n", " evt.data);\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", " else if (typeof evt.data === 'string' && evt.data.slice(0, 21) == \"data:image/png;base64\") {\n", " fig.imageObj.src = evt.data;\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", "\n", " var msg = JSON.parse(evt.data);\n", " var msg_type = msg['type'];\n", "\n", " // Call the \"handle_{type}\" callback, which takes\n", " // the figure and JSON message as its only arguments.\n", " try {\n", " var callback = fig[\"handle_\" + msg_type];\n", " } catch (e) {\n", " console.log(\"No handler for the '\" + msg_type + \"' message type: \", msg);\n", " return;\n", " }\n", "\n", " if (callback) {\n", " try {\n", " // console.log(\"Handling '\" + msg_type + \"' message: \", msg);\n", " callback(fig, msg);\n", " } catch (e) {\n", " console.log(\"Exception inside the 'handler_\" + msg_type + \"' callback:\", e, e.stack, msg);\n", " }\n", " }\n", " };\n", "}\n", "\n", "// from http://stackoverflow.com/questions/1114465/getting-mouse-location-in-canvas\n", "mpl.findpos = function(e) {\n", " //this section is from http://www.quirksmode.org/js/events_properties.html\n", " var targ;\n", " if (!e)\n", " e = window.event;\n", " if (e.target)\n", " targ = e.target;\n", " else if (e.srcElement)\n", " targ = e.srcElement;\n", " if (targ.nodeType == 3) // defeat Safari bug\n", " targ = targ.parentNode;\n", "\n", " // jQuery normalizes the pageX and pageY\n", " // pageX,Y are the mouse positions relative to the document\n", " // offset() returns the position of the element relative to the document\n", " var x = e.pageX - $(targ).offset().left;\n", " var y = e.pageY - $(targ).offset().top;\n", "\n", " return {\"x\": x, \"y\": y};\n", "};\n", "\n", "/*\n", " * return a copy of an object with only non-object keys\n", " * we need this to avoid circular references\n", " * http://stackoverflow.com/a/24161582/3208463\n", " */\n", "function simpleKeys (original) {\n", " return Object.keys(original).reduce(function (obj, key) {\n", " if (typeof original[key] !== 'object')\n", " obj[key] = original[key]\n", " return obj;\n", " }, {});\n", "}\n", "\n", "mpl.figure.prototype.mouse_event = function(event, name) {\n", " var canvas_pos = mpl.findpos(event)\n", "\n", " if (name === 'button_press')\n", " {\n", " this.canvas.focus();\n", " this.canvas_div.focus();\n", " }\n", "\n", " var x = canvas_pos.x * mpl.ratio;\n", " var y = canvas_pos.y * mpl.ratio;\n", "\n", " this.send_message(name, {x: x, y: y, button: event.button,\n", " step: event.step,\n", " guiEvent: simpleKeys(event)});\n", "\n", " /* This prevents the web browser from automatically changing to\n", " * the text insertion cursor when the button is pressed. We want\n", " * to control all of the cursor setting manually through the\n", " * 'cursor' event from matplotlib */\n", " event.preventDefault();\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " // Handle any extra behaviour associated with a key event\n", "}\n", "\n", "mpl.figure.prototype.key_event = function(event, name) {\n", "\n", " // Prevent repeat events\n", " if (name == 'key_press')\n", " {\n", " if (event.which === this._key)\n", " return;\n", " else\n", " this._key = event.which;\n", " }\n", " if (name == 'key_release')\n", " this._key = null;\n", "\n", " var value = '';\n", " if (event.ctrlKey && event.which != 17)\n", " value += \"ctrl+\";\n", " if (event.altKey && event.which != 18)\n", " value += \"alt+\";\n", " if (event.shiftKey && event.which != 16)\n", " value += \"shift+\";\n", "\n", " value += 'k';\n", " value += event.which.toString();\n", "\n", " this._key_event_extra(event, name);\n", "\n", " this.send_message(name, {key: value,\n", " guiEvent: simpleKeys(event)});\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype.toolbar_button_onclick = function(name) {\n", " if (name == 'download') {\n", " this.handle_save(this, null);\n", " } else {\n", " this.send_message(\"toolbar_button\", {name: name});\n", " }\n", "};\n", "\n", "mpl.figure.prototype.toolbar_button_onmouseover = function(tooltip) {\n", " this.message.textContent = tooltip;\n", "};\n", "mpl.toolbar_items = [[\"Home\", \"Reset original view\", \"fa fa-home icon-home\", \"home\"], [\"Back\", \"Back to previous view\", \"fa fa-arrow-left icon-arrow-left\", \"back\"], [\"Forward\", \"Forward to next view\", \"fa fa-arrow-right icon-arrow-right\", \"forward\"], [\"\", \"\", \"\", \"\"], [\"Pan\", \"Pan axes with left mouse, zoom with right\", \"fa fa-arrows icon-move\", \"pan\"], [\"Zoom\", \"Zoom to rectangle\", \"fa fa-square-o icon-check-empty\", \"zoom\"], [\"\", \"\", \"\", \"\"], [\"Download\", \"Download plot\", \"fa fa-floppy-o icon-save\", \"download\"]];\n", "\n", "mpl.extensions = [\"eps\", \"jpeg\", \"pdf\", \"png\", \"ps\", \"raw\", \"svg\", \"tif\"];\n", "\n", "mpl.default_extension = \"png\";var comm_websocket_adapter = function(comm) {\n", " // Create a \"websocket\"-like object which calls the given IPython comm\n", " // object with the appropriate methods. Currently this is a non binary\n", " // socket, so there is still some room for performance tuning.\n", " var ws = {};\n", "\n", " ws.close = function() {\n", " comm.close()\n", " };\n", " ws.send = function(m) {\n", " //console.log('sending', m);\n", " comm.send(m);\n", " };\n", " // Register the callback with on_msg.\n", " comm.on_msg(function(msg) {\n", " //console.log('receiving', msg['content']['data'], msg);\n", " // Pass the mpl event to the overridden (by mpl) onmessage function.\n", " ws.onmessage(msg['content']['data'])\n", " });\n", " return ws;\n", "}\n", "\n", "mpl.mpl_figure_comm = function(comm, msg) {\n", " // This is the function which gets called when the mpl process\n", " // starts-up an IPython Comm through the \"matplotlib\" channel.\n", "\n", " var id = msg.content.data.id;\n", " // Get hold of the div created by the display call when the Comm\n", " // socket was opened in Python.\n", " var element = $(\"#\" + id);\n", " var ws_proxy = comm_websocket_adapter(comm)\n", "\n", " function ondownload(figure, format) {\n", " window.open(figure.imageObj.src);\n", " }\n", "\n", " var fig = new mpl.figure(id, ws_proxy,\n", " ondownload,\n", " element.get(0));\n", "\n", " // Call onopen now - mpl needs it, as it is assuming we've passed it a real\n", " // web socket which is closed, not our websocket->open comm proxy.\n", " ws_proxy.onopen();\n", "\n", " fig.parent_element = element.get(0);\n", " fig.cell_info = mpl.find_output_cell(\"<div id='\" + id + \"'></div>\");\n", " if (!fig.cell_info) {\n", " console.error(\"Failed to find cell for figure\", id, fig);\n", " return;\n", " }\n", "\n", " var output_index = fig.cell_info[2]\n", " var cell = fig.cell_info[0];\n", "\n", "};\n", "\n", "mpl.figure.prototype.handle_close = function(fig, msg) {\n", " var width = fig.canvas.width/mpl.ratio\n", " fig.root.unbind('remove')\n", "\n", " // Update the output cell to use the data from the current canvas.\n", " fig.push_to_output();\n", " var dataURL = fig.canvas.toDataURL();\n", " // Re-enable the keyboard manager in IPython - without this line, in FF,\n", " // the notebook keyboard shortcuts fail.\n", " IPython.keyboard_manager.enable()\n", " $(fig.parent_element).html('<img src=\"' + dataURL + '\" width=\"' + width + '\">');\n", " fig.close_ws(fig, msg);\n", "}\n", "\n", "mpl.figure.prototype.close_ws = function(fig, msg){\n", " fig.send_message('closing', msg);\n", " // fig.ws.close()\n", "}\n", "\n", "mpl.figure.prototype.push_to_output = function(remove_interactive) {\n", " // Turn the data on the canvas into data in the output cell.\n", " var width = this.canvas.width/mpl.ratio\n", " var dataURL = this.canvas.toDataURL();\n", " this.cell_info[1]['text/html'] = '<img src=\"' + dataURL + '\" width=\"' + width + '\">';\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Tell IPython that the notebook contents must change.\n", " IPython.notebook.set_dirty(true);\n", " this.send_message(\"ack\", {});\n", " var fig = this;\n", " // Wait a second, then push the new image to the DOM so\n", " // that it is saved nicely (might be nice to debounce this).\n", " setTimeout(function () { fig.push_to_output() }, 1000);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items){\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) { continue; };\n", "\n", " var button = $('<button class=\"btn btn-default\" href=\"#\" title=\"' + name + '\"><i class=\"fa ' + image + ' fa-lg\"></i></button>');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", " nav_element.append(button);\n", " }\n", "\n", " // Add the status bar.\n", " var status_bar = $('<span class=\"mpl-message\" style=\"text-align:right; float: right;\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "\n", " // Add the close button to the window.\n", " var buttongrp = $('<div class=\"btn-group inline pull-right\"></div>');\n", " var button = $('<button class=\"btn btn-mini btn-primary\" href=\"#\" title=\"Stop Interaction\"><i class=\"fa fa-power-off icon-remove icon-large\"></i></button>');\n", " button.click(function (evt) { fig.handle_close(fig, {}); } );\n", " button.mouseover('Stop Interaction', toolbar_mouse_event);\n", " buttongrp.append(button);\n", " var titlebar = this.root.find($('.ui-dialog-titlebar'));\n", " titlebar.prepend(buttongrp);\n", "}\n", "\n", "mpl.figure.prototype._root_extra_style = function(el){\n", " var fig = this\n", " el.on(\"remove\", function(){\n", "\tfig.close_ws(fig, {});\n", " });\n", "}\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(el){\n", " // this is important to make the div 'focusable\n", " el.attr('tabindex', 0)\n", " // reach out to IPython and tell the keyboard manager to turn it's self\n", " // off when our div gets focus\n", "\n", " // location in version 3\n", " if (IPython.notebook.keyboard_manager) {\n", " IPython.notebook.keyboard_manager.register_events(el);\n", " }\n", " else {\n", " // location in version 2\n", " IPython.keyboard_manager.register_events(el);\n", " }\n", "\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " var manager = IPython.notebook.keyboard_manager;\n", " if (!manager)\n", " manager = IPython.keyboard_manager;\n", "\n", " // Check for shift+enter\n", " if (event.shiftKey && event.which == 13) {\n", " this.canvas_div.blur();\n", " event.shiftKey = false;\n", " // Send a \"J\" for go to next cell\n", " event.which = 74;\n", " event.keyCode = 74;\n", " manager.command_mode();\n", " manager.handle_keydown(event);\n", " }\n", "}\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " fig.ondownload(fig, null);\n", "}\n", "\n", "\n", "mpl.find_output_cell = function(html_output) {\n", " // Return the cell and output element which can be found *uniquely* in the notebook.\n", " // Note - this is a bit hacky, but it is done because the \"notebook_saving.Notebook\"\n", " // IPython event is triggered only after the cells have been serialised, which for\n", " // our purposes (turning an active figure into a static one), is too late.\n", " var cells = IPython.notebook.get_cells();\n", " var ncells = cells.length;\n", " for (var i=0; i<ncells; i++) {\n", " var cell = cells[i];\n", " if (cell.cell_type === 'code'){\n", " for (var j=0; j<cell.output_area.outputs.length; j++) {\n", " var data = cell.output_area.outputs[j];\n", " if (data.data) {\n", " // IPython >= 3 moved mimebundle to data attribute of output\n", " data = data.data;\n", " }\n", " if (data['text/html'] == html_output) {\n", " return [cell, data, j];\n", " }\n", " }\n", " }\n", " }\n", "}\n", "\n", "// Register the function which deals with the matplotlib target/channel.\n", "// The kernel may be null if the page has been refreshed.\n", "if (IPython.notebook.kernel != null) {\n", " IPython.notebook.kernel.comm_manager.register_target('matplotlib', mpl.mpl_figure_comm);\n", "}\n" ], "text/plain": [ "<IPython.core.display.Javascript object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<img src=\"data:image/png;base64,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\" width=\"800\">" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "e6dcdb59d3c94d93bc3ce10d47e0e86b", "version_major": 2, "version_minor": 0 }, "text/plain": [ "VBox(children=(ToggleButtons(options=('Choose gate', 'h', 'cz'), value='Choose gate'), ToggleButtons(options=(…" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "\n", "Your quantum program so far\n", "\n" ] } ], "source": [ "initialize = [['x', '0'],['cx', '1']]\n", "success_condition = {'IZ': 1.0}\n", "allowed_gates = {'0': {'h':0}, '1': {'h':0}, 'both': {'cz': 1}}\n", "vi = [[], True, True]\n", "qubit_names = {'0':'qubit 0', '1':'qubit 1'}\n", "puzzle = hello_quantum.run_game(initialize, success_condition, allowed_gates, vi, qubit_names)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.0" } }, "nbformat": 4, "nbformat_minor": 2 }
apache-2.0
agaveapi/SC17-container-tutorial
content/notebooks/Introduction.ipynb
1
14393
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# HPC via HTTP: Portable, Scalable Computing using App Containers and the Agave API" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Date:** Monday, Nov 13th \n", "**Time:** 8:30am - 12:00pm \n", "**Location:** Colorado Convention Center, Room 203 \n", "**Registration** [Registration](http://sc17.supercomputing.org/attendees/registration/) [SC Tutorial Website](http://sc17.supercomputing.org/presentation/?id=tut178&sess=sess244) " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Preview" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "[![Intro Video](http://img.youtube.com/vi/hVnIrjn_aBI/maxresdefault.jpg)](http://www.youtube.com/watch?v=hVnIrjn_aBI \"HPC via HTTP: Portable, Scalable Computing using App Containers and the Agave API\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Abstract" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Supercomputing matters. So does user experience. Standing between the mainstream adoption of supercomputing and a new generation of users is the reality that the entry cost to using these systems, both in terms of dollars and in time spent learning the technology, has not significantly changed in the last 20 years. The rise of cloud computing only complicates the learning curve further. Over the last 6 years, the authors have been addressing this gap through the development of a Science-as-a-Service platform enabling users to go from their desktop, to their local data center, to the cloud, and back without sacrificing their existing tool chain or user experience.\n", "\n", "In this tutorial, we combine best practices and lessons learned while on-boarding the last 70k new users to TACC’s data center through the Agave Platform. Participants will walk through the process of scaling their application from a local environment to the Jetstream academic cloud and to a high performance computing system at the Texas Advanced Computing Center. They will learn to use multiple container technologies to harmonize app execution between cloud and HPC resources, and they will learn to use modern APIs to orchestrate job execution, capture provenance information, and foster collaboration." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Schedule" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<table class=\"tg\" style=\"width: 100%\">\n", " <tr>\n", " <th class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">Time</th>\n", " <th class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">Presenterr</th>\n", " <th class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">Topic</th>\n", " </tr>\n", " <tr>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">08:30 - 08:45</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">John, Steve</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">[Introductions](01%20Introduction.ipynb)</td>\n", " </tr>\n", " <tr>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">08:45 - 09:05</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">Rion</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">[Agave Overview](02%20Agave%20Overview.pdf)</td>\n", " </tr>\n", " <tr>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">09:05 - 09:15</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">Kathy</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">[Jupyter, Sanbox, and Logging In](03%20Jupyter%2C%20Sandboxes%2C%20and%20Logging%20In.ipynb)</td>\n", " </tr>\n", " <tr>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">09:15 - 09:30</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">Steve</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">[Code, Build, and Test](04%20Code%20Build%20and%20Test.ipynb)</td>\n", " </tr>\n", " <tr>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">09:30 - 10:00</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">Rion, John</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">[Hands on with Agave](05%20Hands%20on%20with%20Agave.ipynb)</td>\n", " </tr>\n", " <tr>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">10:00 - 10:30</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">--</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">Break</td>\n", " </tr>\n", " <tr>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">10:30 - 11:00</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">Steve,John</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">[Docker and Singularity](06%20Docker%20and%Singularity.ipynb)</td>\n", " </tr>\n", " <tr>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">11:00 - 11:15</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">Rion</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">[Automation an Benchmarking](07%20Automation%20and%20Benchmarking.ipynb)</td>\n", " </tr>\n", " <tr>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">11:15 - 11:45</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">Kathy, Rion</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">[Packaging, publishing, and Portability](08%20Packaging%20publishing%20and%20Portability.ipynb)</td>\n", " </tr>\n", " <tr>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">11:45 - 12:00</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">Steve, Kathy</td>\n", " <td class=\"tg-yw4l\" style=\"min-width: 150px; font-size: 1.5em; text-align:left; \">[Future Directions and Homework](09%20Future%20Directions%20and%20Homework.ipynb)</td>\n", " </tr>\n", "</table>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Presenters\n", "\n", "<h3>Steven Brandt<br><span style=\"font-size: .8em\"><a href=\"https://cct.lsu.edu/\">Louisiana State University</a></span></h3>\n", "<div style=\"width:100% margin-top: 15px;\" class=\"biography info-section\">\n", "<div style=\"float:left; margin-right: 15px;\" class=\"presenter-photo\">\n", " <img style=\"border-radius: 50%;border: 1px solid #ccc;\" src=\"http://sc17.supercomputing.org/wp-content/linklings_snippets/presenter_pics/wp_presenter_img_329153_steven_brandt.jpg\" scale=\"0\">\n", "</div>\n", "<div style=\"font-size: 1.6rem; line-height: 1.625;font-weight: bold;\" class=\"biography-header\">Biography</div>\n", "<div style=\"font-size: 1.6rem; line-height: 1.625;\" class=\"presenter-biography\">\n", "Steven Brandt obtained his Ph.D. from the University of Illinois at Champaign-Urbana for his research in numerical simulations of rotating black holes. He currently serves as an adjunct faulty member in the Department of Computer Science & Engineering and is involved in research into making parallel programming more effective. He works with the STEllAR team led by Dr. Hartmut Kaiser, and helps lead the Cactus Frameworks effort. He is PI on grants relating to Cactus Frameworks development and cyberinfrastructure for the Coastal Hazards Collaboratory.</div>\n", "</div>\n", "\n", "<h3>Kathy Traxler<br><span style=\"font-size: .8em\"><a href=\"https://cct.lsu.edu/\">Louisiana State University</a></span></h3>\n", "<div style=\"width:100% margin-top: 15px;\" class=\"biography info-section\">\n", "<div style=\"float:left; margin-right: 15px;\" class=\"presenter-photo\">\n", " <img style=\"border-radius: 50%;border: 1px solid #ccc;\" src=\"http://sc17.supercomputing.org/wp-content/linklings_snippets/presenter_pics/wp_presenter_img_526643_kathy_traxler.png\" scale=\"0\">\n", "</div>\n", "<div style=\"font-size: 1.6rem; line-height: 1.625;font-weight: bold;\" class=\"biography-header\">Biography</div>\n", "<div style=\"font-size: 1.6rem; line-height: 1.625;\" class=\"presenter-biography\">Kathy Traxler is an Education, Outreach and Training coordinator for CCT. She now works with professors, who secured grants needing EOT programs, to develop and implement these programs. Kathy received her B.S. in Computer Science from Southeastern LA University in 1988. She then went to University of Southern MS and received a M.S. in Computer Science in 1991. She taught and was undergraduate advisor in LSU's Computer Science department from June of 1993 through May of 2003. when she moved to CCT to work with students and develop EOT activities.</div>\n", "</div>\n", "\n", "<h3>John Fonner<br><span style=\"font-size: .8em\"><a href=\"https://tacc.utexas.edu/\">Texas Advanced Computing Center</a></span></h3>\n", "<div style=\"width:100% margin-top: 15px;\" class=\"biography info-section\">\n", "<div style=\"float:left; margin-right: 15px;\" class=\"presenter-photo\">\n", " <img style=\"border-radius: 50%;border: 1px solid #ccc;\" src=\"http://sc17.supercomputing.org/wp-content/linklings_snippets/presenter_pics/wp_presenter_img_534853_john_fonner.jpg\" scale=\"0\">\n", "</div>\n", "<div style=\"font-size: 1.6rem; line-height: 1.625;font-weight: bold;\" class=\"biography-header\">Biography</div>\n", "<div style=\"font-size: 1.6rem; line-height: 1.625;\" class=\"presenter-biography\">John Fonner earned a Ph.D. in Biomedical Engineering at the University of Texas at Austin, where he used a blend of experimental and computational techniques to study binding interactions between peptides and conducting polymers for implant applications in the nervous system. He joined the Life Sciences Computing group at TACC in 2011 and has served on a number of projects that help life sciences researchers leverage advanced computing resources, both through training and through the development of better tools and cyberinfrastructure.\n", "</div>\n", "</div>\n", "\n", "<h3>Rion Dooley<br><span style=\"font-size: .8em\"><a href=\"https://tacc.utexas.edu/\">Texas Advanced Computing Center</a></span></h3>\n", "<div style=\"width:100% margin-top: 15px;\" class=\"biography info-section\">\n", "<div style=\"float:left; margin-right: 15px;\" class=\"presenter-photo\">\n", " <img style=\"border-radius: 50%;border: 1px solid #ccc;\" src=\"http://sc17.supercomputing.org/wp-content/linklings_snippets/presenter_pics/wp_presenter_img_735343_rion_dooley.jpg\" scale=\"0\">\n", "</div>\n", "<div style=\"font-size: 1.6rem; line-height: 1.625;font-weight: bold;\" class=\"biography-header\">Biography</div>\n", "<div style=\"font-size: 1.6rem; line-height: 1.625;\" class=\"presenter-biography\">Rion Dooley is principal investigator on the Agave Project a Science-as-a-Service API platform allowing researchers worldwide to manage data, run code, collaborate freely, and integrate their science anywhere. His previous projects span areas of identity management, distributed web security, full-stack application development, data management, cloud services, and high performance computing. He earned his Ph.D. in computer science from Louisiana State University. Rion actively puts his wife and two daughters at the top of his list of accomplishments. He hopes his work can someday edge out dancing teddy bears and smear-proof lipstick on their lists of favorite inventions.</div>\n", "</div>\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Acknowledgement \n", "\n", "This material is based upon work supported by the National Science Foundation Plant Cyberinfrastructure Program (DBI-0735191), the National Science Foundation Plant Genome Research Program (IOS-1237931 and IOS-1237931), the National Science Foundation Division of Biological Infrastructure (DBI-1262414), the National Science Foundation Division of Advanced CyberInfrastructure (1127210), the National Science Foundation Computing and Communication Foundations (1539567), and the National Institute of Allergy and Infectious Diseases (1R01A1097403).\n" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "## Special thanks\n", "\n", "Special thanks go out to ***Shuai Yuan*** for his help on the Jupyter GUI notebook. " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.2" } }, "nbformat": 4, "nbformat_minor": 2 }
bsd-3-clause
root-mirror/training
SummerStudentCourse/2019/Exercises/ROOTBooks/GausFit_Solution.ipynb
4
83811
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Exercise: Gauss Histogram Fitting \n", "\n", "This example shows how to fit an histogram using ROOT " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Create First an empty histogram with 50 bins with range [-10,10]" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "TH1D h1(\"h1\",\"h1\",50,-10,10);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Fill the histogram with 10000 Gaussian Random number with mean=1 and sigma=2" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "for (int i = 0; i < 1000; ++i) {\n", " h1.Fill(gRandom->Gaus(1,2));\n", "}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note, we could also use the function h1.FillRandom(\"gaus\"), but we need to set the right parameters of the Gaussian function before." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAArgAAAHYCAIAAAApvgy/AAAABmJLR0QAAAAAAAD5Q7t/AAAbkklE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\nSUVORK5CYII=\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stderr", "output_type": "stream", "text": [ "Info in <TCanvas::MakeDefCanvas>: created default TCanvas with name c1\n" ] } ], "source": [ "h1.Draw();\n", "gPad->Draw();" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Part1: Fit the Histogram with a Gaussian function\n", "\n", "Note that before fitting we need to create the fitting function and set its initial parameter values. \n", "For a Gaussian function the setting of initial values is not really needed, because they can be computed automatically by ROOT. " ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "// declare here some variables which will be used afterwards\n", "TF1 * f1 = nullptr; \n", "TFitResultPtr res; " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Create the Gaussian Fit function" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [], "source": [ "f1 = new TF1(\"f1\",\"gaus\",-10,10);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Set the initial parametger values (e.g. Constant = 100, mean = 0, sigma =1)" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "f1->SetParameters(100,0,1); " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Fit now the histogram using the Fit method in ROOT. By default the least-square method is used. \n", "For likelihood fits we need to use the option \"L\", for Pearson chi-square (expected error) , option \"P\".\n", "\n", "Use also option \"S\" to create a TFitResult object that is returned to the user.\n", "To compute the error using MINOS, use the \"E\" option\n" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " FCN=35.8313 FROM MINOS STATUS=SUCCESSFUL 21 CALLS 171 TOTAL\n", " EDM=4.34905e-11 STRATEGY= 1 ERROR MATRIX ACCURATE \n", " EXT PARAMETER STEP FIRST \n", " NO. NAME VALUE ERROR SIZE DERIVATIVE \n", " 1 Constant 7.40284e+01 3.02687e+00 -2.34163e-02 1.36540e-04\n", " 2 Mean 1.02275e+00 6.85129e-02 -4.53464e-04 -6.98304e-03\n", " 3 Sigma 2.08774e+00 5.45912e-02 5.45912e-02 8.36253e-02\n" ] } ], "source": [ "res = h1.Fit(f1, \"S E\");" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAArgAAAHYCAIAAAApvgy/AAAABmJLR0QAAAAAAAD5Q7t/AAAgAElE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\nKAAArQQFAKCVoAAAtPp/rL+9ID45RnQAAAAASUVORK5CYII=\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "gStyle->SetOptFit(1);\n", "gPad->SetLogy(true); // to set log scale in y\n", "gPad->Draw();" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Print the result of the Fit from the returned TFitResult object" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\n", "****************************************\n", "Minimizer is Minuit / Migrad\n", "Chi2 = 35.8313\n", "NDf = 29\n", "Edm = 4.34905e-11\n", "NCalls = 84\n", "Constant = 74.0284 +/- 3.02687 \n", "Mean = 1.02275 +/- 0.0685129 \n", "Sigma = 2.08774 +/- 0.0545912 \t (limited)\n" ] } ], "source": [ "res->Print();" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Get the correlation matrix of the fit from the TFitResult class and print it " ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\n", "3x3 matrix is as follows\n", "\n", " | 0 | 1 | 2 |\n", "--------------------------------------------\n", " 0 | 1 0.007838 -0.6161 \n", " 1 | 0.007838 1 -0.02071 \n", " 2 | -0.6161 -0.02071 1 \n", "\n" ] } ], "source": [ "auto corrMatrix = res->GetCorrelationMatrix(); \n", "corrMatrix.Print(); " ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Gaussian sigma = 2.08774 +/- 0.0545912\n" ] } ], "source": [ "std::cout << \"Gaussian sigma = \" << f1->GetParameter(\"Sigma\") << \" +/- \" << f1->GetParError(f1->GetParNumber(\"Sigma\")) << std::endl;" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If we want to access the MINOS asymmetric error, we can get them from the FitResult object" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Gaussian sigma = 2.08774 + 0.0547402 -0.0544955\n" ] } ], "source": [ "std::cout << \"Gaussian sigma = \" << res->Parameter(2) << \" + \" << res->UpperError(2) << \" \" << res->LowerError(2) << std::endl;" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Part 2: Fit Bias Study\n", "\n", "We study now the Fit Bias for the sigma parameter. We can look at the difference obtained by using 3 different fit methods: \n", "\n", "1. Chisquare fit using the observed error (default case in ROOT) \n", "2. Chisquare fit using the expected error (option \"P\" - Pearson chi2)\n", "3. Binned maximum likelihood fit (option \"L\")" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [], "source": [ "TH1 * hs = nullptr;" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [], "source": [ "hs = new TH1D(\"hs\",\"Sigma pull distribution\",50,-4,4);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Generate 1000 pseudo-experiments, where for each one of them generate an histogram as above (50 bins, in [-10,10] and fill it with 1000 Gaussian distributed numbers with $\\mu=1$ and $\\sigma=2$. \n", "For each pseudo-experiment, fit the histogram and look at the obtained $\\sigma$ value from the fit. \n", "Fill the *Sigma pull histogram*, *hs* with $(\\sigma_{FIT} - \\sigma_{TRUE})/\\sigma_{FIT-ERROR}$. \n", "\n", "$\\sigma_{TRUE} = 2$ in this case and $\\sigma_{FIT-ERROR}$ is the uncertainty obtained from the fit.\n", "\n", "Do for one of the three cases (Neyman chi2 (default), Likelihood fit (option *L*) or Pearson chi2 (option *P*)" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [], "source": [ "hs->Reset(); // in case we run a second time\n", "for (int iexp = 0; iexp < 1000; ++iexp) { \n", " TH1D h0(\"h0\",\"h0\",50,-10,10);\n", " for (int i = 0; i < 1000; ++i){\n", " h0.Fill(gRandom->Gaus(0,2));\n", " }\n", " TF1 f1(\"f1\",\"gaus\",-10,10);\n", " f1.SetParameters(100,0,1);\n", " h0.Fit(&f1,\"Q P\"); // use Q option to avoid too much printing\n", " hs->Fill( (f1.GetParameter(2)- 2)/f1.GetParError(2)); // to get sigma and its error\n", " //std::cout << f1.GetParameter(2) << \" \" << f1.GetParError(2) << std::endl;\n", "}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Fit the obtained Pull distribution with a Gaussian function" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAArgAAAHYCAIAAAApvgy/AAAABmJLR0QAAAAAAAD5Q7t/AAAgAElE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\nAAAASUVORK5CYII=\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ " FCN=32.371 FROM MIGRAD STATUS=CONVERGED 60 CALLS 61 TOTAL\n", " EDM=1.4494e-07 STRATEGY= 1 ERROR MATRIX ACCURATE \n", " EXT PARAMETER STEP FIRST \n", " NO. NAME VALUE ERROR SIZE DERIVATIVE \n", " 1 Constant 4.77878e+01 2.06837e+00 4.49853e-03 -2.90941e-04\n", " 2 Mean 1.40233e+00 4.61836e-02 1.22136e-04 3.40332e-03\n", " 3 Sigma 1.22251e+00 3.85109e-02 2.22971e-05 -1.84078e-02\n" ] } ], "source": [ "hs->Fit(\"gaus\"); gPad->Draw();" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Part 3: Study the chi2 distribution\n", "\n", "Using the likelihood definition described in the Baker-Cousins paper we can use the likelihood at the minimum as a chi2. We study its distribution using pseudo-experiments.\n", "We can compare what we obtain if we use the likelihood-value in a likelihood fit or the chi2 obtained when doing a\n", "chi2 Fit (Neyman or Pearson chi2). " ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [ "TH1 * hchi = nullptr;\n", "TF1 * fchi = nullptr; " ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [], "source": [ "hchi = new TH1D(\"hchi\",\"chi-squared distribution\",100,0,100);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The Baker-Cousins likelihood-value is obtained from the FitResult class as \n", "$2 \\times$ *result->MinFcnValue()*\n", "*result->Chi2()* returns instead the Chi2 obtained from the data-function resuduals.\n", "\n", "Genersate now 10000 pseudo-experiments and for each of them create, fill and fit an histogram as before. \n", "But now make an histogram of the chi2 distribution, either using *2 result->MinFcnValue()* if doing a likelihood fit or\n", "using *result->Chi2()* in case of a chi2 fit" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [], "source": [ "hchi->Reset(); // in case we run a second time\n", "for (int iexp = 0; iexp < 10000; ++iexp) { \n", " TH1D h0(\"h0\",\"h0\",50,-10,10);\n", " for (int i = 0; i < 1000; ++i){\n", " h0.Fill(gRandom->Gaus(0,4));\n", " }\n", " TF1 f1(\"f1\",\"gaus\",-10,10);\n", " f1.SetParameters(100,0,1);\n", " // for likelihood fits \n", " auto r = h0.Fit(&f1,\"S Q L \"); // use Q option to avoid too much printing\n", " hchi->Fill( 2.* r->MinFcnValue() ); // to get likelihood value from fit result\n", " // uncomment these lines for chi2 fits and comment the two lines above\n", " //auto r = h0.Fit(&f1,\"S Q \"); // use Q option to avoid too much printing\n", " //hchi->Fill( r->Chi2 () ); // get chi2 value from fit result\n", "}" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAArgAAAHYCAIAAAApvgy/AAAABmJLR0QAAAAAAAD5Q7t/AAAgAElE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\nRU5ErkJggg==\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "hchi->Draw(); gPad->Draw();" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": true }, "outputs": [], "source": [ "fchi = new TF1(\"fchi\",\"[0]*ROOT::Math::chisquared_pdf(x,[1])\",0,100);\n", "fchi->SetParameters(hchi->GetEntries()*hchi->GetBinWidth(1), hchi->GetMean());" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " FCN=29.3947 FROM MIGRAD STATUS=CONVERGED 27 CALLS 28 TOTAL\n", " EDM=1.66139e-09 STRATEGY= 1 ERROR MATRIX ACCURATE \n", " EXT PARAMETER STEP FIRST \n", " NO. NAME VALUE ERROR SIZE DERIVATIVE \n", " 1 p0 1.00002e+04 1.00002e+02 3.77444e-01 1.40646e-07\n", " 2 p1 4.82226e+01 9.72016e-02 3.66991e-04 -3.93589e-04\n", " ERR DEF= 0.5\n" ] } ], "source": [ "hchi->Fit(fchi,\"L\");" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAArgAAAHYCAIAAAApvgy/AAAABmJLR0QAAAAAAAD5Q7t/AAAgAElE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\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "hchi->Draw(); gPad->Draw();" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Which of the two obtained quantities (Baker-Cousins lieklihood or Neyman chi2) agree better with a real chi2 distribution ? " ] } ], "metadata": { "kernelspec": { "display_name": "ROOT C++", "language": "c++", "name": "root" }, "language_info": { "codemirror_mode": "text/x-c++src", "file_extension": ".C", "mimetype": " text/x-c++src", "name": "c++" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-2.0
anonymous-ijcai/dsw-ont-ijcai
dswont/topics_music.ipynb
1
66610
{ "metadata": { "name": "", "signature": "sha256:4d8dd393c2552c4aa372b9b760eb57a8e00f9d67cac2562512edf8ecf8ce642f" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "# %matplotlib inline\n", "# %load_ext autoreload\n", "# %autoreload 2" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "import os\n", "# This hack makes ipython notebook import the modules correctly.\n", "if (os.path.basename(os.getcwd()) == 'dswont'):\n", " os.chdir(os.path.dirname(os.getcwd()))" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "import matplotlib\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "import pandas as pd\n", "from scipy import stats\n", "\n", "import logging\n", "logging.basicConfig(level=logging.WARN)\n", "# Silence the verbose urllib logger.\n", "logging.getLogger('requests.packages.urllib3.connectionpool').setLevel(logging.WARN)\n", "\n", "from dswont import topics\n", "from dswont import util\n", "from dswont import dbpedia" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "ROOT_CATEGORY_MUSIC = 'http://dbpedia.org/resource/Category:Music'\n", "DEFAULT_SELECTION_DEPTH = 9\n", "DEFAULT_RELATION_CACHE = topics.CategoryRelationCache(\n", " subcat_index_file=util.resource('wikipedia/uri-to-subcats-music'),\n", " supercat_index_file=util.resource('wikipedia/uri-to-supercats-music'))\n", "\n", "def music_category_selection(**params):\n", " updated_params = {\n", " 'root' : ROOT_CATEGORY_MUSIC,\n", " 'relation_cache' : DEFAULT_RELATION_CACHE}\n", " updated_params.update(params)\n", " selection = topics.CategorySelection(**updated_params)\n", " selection.run()\n", " return selection\n", "\n", "def precompute_full_selection(precomputed_data={}):\n", " if not 'full_selection' in precomputed_data:\n", " precomputed_data['full_selection'] = music_category_selection(max_depth=DEFAULT_SELECTION_DEPTH)\n", " return precomputed_data['full_selection']" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "def make_topic_data_frame(selection):\n", " topic_df = pd.DataFrame({'topic':list(selection)})\n", " topic_df['depth'] = topic_df['topic'].apply(selection.get_depth)\n", " topic_df['title'] = topic_df['topic'].apply(dbpedia.to_title)\n", " topic_df = topic_df.reindex(columns=['topic', 'title', 'depth'])\n", " return topic_df\n", "\n", "def precompute_unlabeled_topic_data_frame(precomputed_data={}):\n", " if not 'unlabeled_topic_df' in precomputed_data:\n", " precomputed_data['unlabeled_topic_df'] = make_topic_data_frame(precompute_full_selection())\n", " return precomputed_data['unlabeled_topic_df']" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "def report_level_distribution(topic_df):\n", " return topic_df.groupby('depth').count()['title']" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "# report_level_distribution(precompute_unlabeled_topic_data_frame())" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Israeli music'<->'Middle Eastern music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Distributed data storage'<->'Distributed data storage systems'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cloud storage'<->'File hosting'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cash-Carter family'<->'Johnny Cash'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'John Lennon'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'Yoko Ono'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'McCartney family'<->'Linda McCartney'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Igbo language'<->'Igboid languages'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Hearing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Victoria Beckham'<->'David Beckham'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Chinese language by country'<->'Chinese languages in Singapore'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Magazines'<->'Magazine publishing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Basketball venues in the United States'<->'American Basketball Association (2000\u2013present) venues'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Islamic Republic of Iran Broadcasting'<->'Television stations in Iran'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Punjab'<->'Punjabi culture'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Punjab, Pakistan'<->'Punjabi culture'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Rosh Hashanah'<->'Ten Days of Repentance'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Yom Kippur'<->'Ten Days of Repentance'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Computer vision'<->'Image processing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Chinese society'<->'Chinese culture'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Singaporean society'<->'Languages of Singapore'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Singaporean society'<->'Singaporean culture'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Punjab, India'<->'Punjabi culture'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Maccabees'<->'Cultural depictions of the Maccabees'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Optical materials'<->'Transparent materials'\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 7, "text": [ "depth\n", "0 1\n", "1 35\n", "2 382\n", "3 2689\n", "4 12043\n", "5 25191\n", "6 22872\n", "7 6927\n", "8 5637\n", "9 15752\n", "Name: title, dtype: int64" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "def sample_from_df(df, nrows = 10, seed=0):\n", " np.random.seed(seed)\n", " rows = np.random.choice(df.index.values, nrows, replace=False)\n", " return df.ix[rows]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 52 }, { "cell_type": "code", "collapsed": false, "input": [ "def sample_from_level(df, level, nrows=10, seed=0):\n", " return sample_from_df(df[df['depth']==level], nrows, seed)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 53 }, { "cell_type": "code", "collapsed": false, "input": [ "# sample_from_level(precompute_unlabeled_topic_data_frame(), 9, 100)['title'].values\n", "# # Number of relevant topics : 1\n", "# # 'Trauma Records albums'\n", "# # 95% conf. interval: [0.000, 0.054]\n", "# # Conclusion: could probably discard level 9\n", "\n", "# sample_from_level(precompute_unlabeled_topic_data_frame(), 8, 100)['title'].values\n", "# # Number of relevant topics : 21\n", "# # 'Raised by Swans albums'\n", "# # 'Low-importance Madonna articles'\n", "# # 'Cub Country albums'\n", "# # 'The Revolution Smile albums'\n", "# # 'Island Records albums'\n", "# # 'Polar Music albums'\n", "# # 'Hannah Georgas albums'\n", "# # 'Operas set in Turkey'\n", "# # 'Category-Class Madonna articles'\n", "# # 'Free multimedia codecs, containers, and splitters'\n", "# # 'J Storm albums'\n", "# # 'Portal-Class Madonna articles'\n", "# # 'The Folk Implosion albums'\n", "# # 'Hawksley Workman albums'\n", "# # 'Skipping Girl Vinegar albums'\n", "# # 'Loveless albums'\n", "# # 'The Hours albums'\n", "# # 'Nadine songs'\n", "# # 'Two Hours Traffic albums'\n", "# # 'Alternative rock groups from Maryland'\n", "# # 'Cusco (band) albums'\n", "# # 95% conf. interval: (0.135, 0.303)\n", "\n", "None" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 54 }, { "cell_type": "code", "collapsed": false, "input": [ "def clopper_pearson(k, n, alpha):\n", " \"\"\"\n", " http://en.wikipedia.org/wiki/Binomial_proportion_confidence_interval\n", " alpha confidence intervals for a binomial distribution of k expected successes on n trials\n", " Clopper Pearson intervals are a conservative estimate.\n", " \"\"\"\n", " lo = stats.beta.ppf(alpha/2, k, n-k+1)\n", " hi = stats.beta.ppf(1 - alpha/2, k+1, n-k)\n", " return lo, hi" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 55 }, { "cell_type": "code", "collapsed": false, "input": [ "def generate_and_save_topics_for_labeling(filename):\n", " topic_data_sampler = topics.TrainingDataSelection(precompute_full_selection())\n", " topic_sample = topic_data_sampler.sample_paths_through_from_anywhere(1000)\n", " data_processing = topics.TrainingDataProcessing()\n", " topics_for_labeling = list(topic_sample)\n", " data_processing.save_topic_labels(topics_for_labeling, \n", " [None] * len(topics_for_labeling), \n", " topic_data_file, \n", " topic_data_sampler)\n", " \n", "# generate_and_save_topics_for_labeling()\n", "\n", "def read_ground_truth_topic_labels():\n", " data_processing = topics.TrainingDataProcessing()\n", " return data_processing.read_topic_labels(util.resource('labeled-topics-music-1000-dm.txt'))\n", "\n", "def make_labeled_topic_data_frame(selection, ground_truth_data):\n", " selection_df = pd.DataFrame({'topic':list(selection)})\n", " selection_df['depth'] = selection_df['topic'].apply(selection.get_depth)\n", " ground_truth_topic_relevance_topics, ground_truth_topic_relevance_relevance =\\\n", " zip(*ground_truth_data.items())\n", " ground_truth_topic_relevance_df = pd.DataFrame(\n", " {'topic':ground_truth_topic_relevance_topics,\n", " 'is_relevant':ground_truth_topic_relevance_relevance})\n", " selection_df = selection_df.merge(ground_truth_topic_relevance_df, how='outer')\n", " selection_df['title'] = selection_df['topic'].apply(dbpedia.to_title)\n", " selection_df =\\\n", " selection_df.reindex(columns=['topic', 'title', 'depth', 'is_relevant'])\n", " return selection_df\n", "\n", "def precompute_labeled_topic_data_frame(precomputed_data={}):\n", " if not 'labeled_topic_df' in precomputed_data:\n", " selection = precompute_full_selection()\n", " ground_truth_data = read_ground_truth_topic_labels()\n", " selection_df = make_labeled_topic_data_frame(selection, ground_truth_data)\n", " precomputed_data['labeled_topic_df'] = selection_df\n", " return precomputed_data['labeled_topic_df']" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 56 }, { "cell_type": "code", "collapsed": false, "input": [ "# precompute_labeled_topic_data_frame().groupby('depth')['topic'].count()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 15, "text": [ "depth\n", "0 1\n", "1 35\n", "2 382\n", "3 2689\n", "4 12043\n", "5 25191\n", "6 22872\n", "7 6927\n", "8 5637\n", "9 15752\n", "Name: topic, dtype: int64" ] } ], "prompt_number": 15 }, { "cell_type": "code", "collapsed": false, "input": [ "def apply_to_new_domain(selection_classifier:topics.CategorySelectionClassifier,\n", " new_domain_full_selection:topics.CategorySelection):\n", " result = selection_classifier.copy()\n", " old_topic_classifier = result.selection._classifier\n", " result.full_selection = new_domain_full_selection\n", " result.max_depth = new_domain_full_selection._max_depth\n", " result.selection = topics.CategorySelection(\n", " new_domain_full_selection._root,\n", " old_topic_classifier,\n", " new_domain_full_selection._max_depth,\n", " new_domain_full_selection._relations)\n", " result.selection.run()\n", " return result" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 33 }, { "cell_type": "code", "collapsed": false, "input": [ "# cs_clf = topics.default_trained_topic_selection_classifier()\n", "# music_clf = apply_to_new_domain(cs_clf, precompute_full_selection())" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Musicology'<->'Philosophy of music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Philosophy of music'<->'Musicology'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Contemporary classical musicians'<->'Contemporary classical composers'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Israeli music'<->'Middle Eastern music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Freak folk'<->'New Weird America'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Distributed data storage'<->'Distributed data storage systems'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Distributed data storage'<->'File sharing networks'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'File sharing networks'<->'Distributed data storage'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Electronic musical instruments'<->'Quintephones'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Contemporary classical composers'<->'Contemporary classical musicians'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Band-centric video games'<->'Musician video games'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Musician video games'<->'Band-centric video games'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'New Weird America'<->'Freak folk'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cloud storage'<->'File hosting'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cash-Carter family'<->'Johnny Cash'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'John Lennon'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'Yoko Ono'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'McCartney family'<->'Linda McCartney'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Quintephones'<->'Electronic musical instruments'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Hearing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Marathi music'<->'Marathi film music composers'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Middle Eastern music'<->'Israeli music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Central American music'<->'Latin American music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Latin American music'<->'Central American music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Marathi film music composers'<->'Marathi music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Johnny Cash'<->'Cash-Carter family'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Linda McCartney'<->'McCartney family'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Wynonna Judd'<->'The Judds'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Yoko Ono'<->'Lennon family'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'John Lennon'<->'Lennon family'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Victoria Beckham'<->'David Beckham'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Latvian classical musicians by instrument'<->'Latvian classical organists'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Australian electronic music groups'<->'Australian electronic dance music groups'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Basketball venues in the United States'<->'American Basketball Association (2000\u2013present) venues'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Latvian classical organists'<->'Latvian classical musicians'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Latvian classical organists'<->'Latvian classical musicians by instrument'\n" ] } ], "prompt_number": 34 }, { "cell_type": "code", "collapsed": false, "input": [ "# categories, classes = zip(*read_ground_truth_topic_labels().items())\n", "# print(topics.evaluate_classifier(music_clf, categories, classes, util.accuracy_score))\n", "# print(topics.evaluate_classifier(music_clf, categories, classes, util.f1_pos_class))\n", "# print(topics.evaluate_classifier(music_clf, categories, classes, util.f1_neg_class))\n", "# print(topics.evaluate_classifier(music_clf, categories, classes, util.weighted_f1))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0.858378378378\n", "0.896278701504\n", "0.776831345826\n", "0.860767325492\n" ] } ], "prompt_number": 60 }, { "cell_type": "code", "collapsed": false, "input": [ "def depth_based_selection(full_selection, depth):\n", " selection = topics.CategorySelection(\n", " full_selection._root,\n", " None,\n", " depth,\n", " full_selection._relations)\n", " selection.run()\n", " return selection\n", "\n", "# for depth in range(1, 10):\n", "# clf = depth_based_selection(precompute_full_selection(), depth)\n", "# print(\"Depth:\", depth)\n", "# print(\"Accuracy:\", topics.evaluate_classifier(clf, categories, classes, util.accuracy_score))\n", "# print(\"Positive F1:\", topics.evaluate_classifier(clf, categories, classes, util.f1_pos_class))\n", "# print(\"Negative F1:\", topics.evaluate_classifier(clf, categories, classes, util.f1_neg_class))\n", "# print(\"Weighted F1:\", topics.evaluate_classifier(clf, categories, classes, util.weighted_f1))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Depth: 1\n", "Accuracy: 0.297297297297\n", "Positive F1: 0.0\n", "Negative F1: 0.458333333333\n", "Weighted F1: 0.136261261261\n", "Depth:" ] }, { "output_type": "stream", "stream": "stdout", "text": [ " 2\n", "Accuracy: 0.353513513514\n", "Positive F1: 0.148148148148\n", "Negative F1: 0.479094076655\n", "Weighted F1: 0.246537478245\n", "Depth:" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Israeli music'<->'Middle Eastern music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Distributed data storage'<->'Distributed data storage systems'\n" ] }, { "output_type": "stream", "stream": "stdout", "text": [ " 3\n", "Accuracy: 0.48\n", "Positive F1: 0.419782870929\n", "Negative F1: 0.52889324192\n", "Weighted F1: 0.452221089332\n", "Depth:" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Israeli music'<->'Middle Eastern music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Distributed data storage'<->'Distributed data storage systems'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cloud storage'<->'File hosting'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cash-Carter family'<->'Johnny Cash'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'John Lennon'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'Yoko Ono'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'McCartney family'<->'Linda McCartney'\n" ] }, { "output_type": "stream", "stream": "stdout", "text": [ " 4\n", "Accuracy: 0.648648648649\n", "Positive F1: 0.6772591857\n", "Negative F1: 0.614472123369\n", "Weighted F1: 0.658592761764\n", "Depth:" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Israeli music'<->'Middle Eastern music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Distributed data storage'<->'Distributed data storage systems'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cloud storage'<->'File hosting'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cash-Carter family'<->'Johnny Cash'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'John Lennon'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'Yoko Ono'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'McCartney family'<->'Linda McCartney'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Igbo language'<->'Igboid languages'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Hearing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Victoria Beckham'<->'David Beckham'\n" ] }, { "output_type": "stream", "stream": "stdout", "text": [ " 5\n", "Accuracy: 0.810810810811\n", "Positive F1: 0.854288093256\n", "Negative F1: 0.730354391371\n", "Weighted F1: 0.817442938641\n", "Depth:" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Israeli music'<->'Middle Eastern music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Distributed data storage'<->'Distributed data storage systems'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cloud storage'<->'File hosting'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cash-Carter family'<->'Johnny Cash'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'John Lennon'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'Yoko Ono'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'McCartney family'<->'Linda McCartney'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Igbo language'<->'Igboid languages'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Hearing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Victoria Beckham'<->'David Beckham'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Chinese language by country'<->'Chinese languages in Singapore'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Magazines'<->'Magazine publishing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Basketball venues in the United States'<->'American Basketball Association (2000\u2013present) venues'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Islamic Republic of Iran Broadcasting'<->'Television stations in Iran'\n" ] }, { "output_type": "stream", "stream": "stdout", "text": [ " 6\n", "Accuracy: 0.885405405405\n", "Positive F1: 0.921013412817\n", "Negative F1: 0.791338582677\n", "Weighted F1: 0.882461436289\n", "Depth:" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Israeli music'<->'Middle Eastern music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Distributed data storage'<->'Distributed data storage systems'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cloud storage'<->'File hosting'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cash-Carter family'<->'Johnny Cash'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'John Lennon'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'Yoko Ono'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'McCartney family'<->'Linda McCartney'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Igbo language'<->'Igboid languages'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Hearing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Victoria Beckham'<->'David Beckham'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Chinese language by country'<->'Chinese languages in Singapore'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Magazines'<->'Magazine publishing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Basketball venues in the United States'<->'American Basketball Association (2000\u2013present) venues'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Islamic Republic of Iran Broadcasting'<->'Television stations in Iran'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Punjab'<->'Punjabi culture'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Punjab, Pakistan'<->'Punjabi culture'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Rosh Hashanah'<->'Ten Days of Repentance'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Yom Kippur'<->'Ten Days of Repentance'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Computer vision'<->'Image processing'\n" ] }, { "output_type": "stream", "stream": "stdout", "text": [ " 7\n", "Accuracy: 0.84\n", "Positive F1: 0.896503496503\n", "Negative F1: 0.647619047619\n", "Weighted F1: 0.822510822511\n", "Depth:" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Israeli music'<->'Middle Eastern music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Distributed data storage'<->'Distributed data storage systems'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cloud storage'<->'File hosting'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cash-Carter family'<->'Johnny Cash'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'John Lennon'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'Yoko Ono'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'McCartney family'<->'Linda McCartney'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Igbo language'<->'Igboid languages'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Hearing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Victoria Beckham'<->'David Beckham'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Chinese language by country'<->'Chinese languages in Singapore'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Magazines'<->'Magazine publishing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Basketball venues in the United States'<->'American Basketball Association (2000\u2013present) venues'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Islamic Republic of Iran Broadcasting'<->'Television stations in Iran'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Punjab'<->'Punjabi culture'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Punjab, Pakistan'<->'Punjabi culture'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Rosh Hashanah'<->'Ten Days of Repentance'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Yom Kippur'<->'Ten Days of Repentance'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Computer vision'<->'Image processing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Chinese society'<->'Chinese culture'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Singaporean society'<->'Languages of Singapore'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Singaporean society'<->'Singaporean culture'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Punjab, India'<->'Punjabi culture'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Maccabees'<->'Cultural depictions of the Maccabees'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Optical materials'<->'Transparent materials'\n" ] }, { "output_type": "stream", "stream": "stdout", "text": [ " 8\n", "Accuracy: 0.76972972973\n", "Positive F1: 0.859033752482\n", "Negative F1: 0.371681415929\n", "Weighted F1: 0.714145219993\n", "Depth:" ] }, { "output_type": "stream", "stream": "stdout", "text": [ " 9\n", "Accuracy: 0.702702702703\n", "Positive F1: 0.825396825397\n", "Negative F1: 0.0\n", "Weighted F1: 0.580008580009\n" ] } ], "prompt_number": 66 }, { "cell_type": "code", "collapsed": false, "input": [ "from sklearn import cross_validation\n", "\n", "def default_classifier_evaluation_params():\n", " categories_and_classes = list(read_ground_truth_topic_labels().items())\n", " np.random.shuffle(categories_and_classes)\n", " categories, classes = list(zip(*categories_and_classes))\n", " classes = np.array(classes, dtype=bool)\n", " categories = np.array(categories)\n", " inner_cross_validation = None # No inner cross-validation.\n", " outer_cross_validation = topics.default_cross_validation\n", " def model_selection_measure(*args, **params):\n", " return util.weighted_f1(*args, **params)\n", " evaluation_measures = [util.accuracy_score, util.f1_pos_class, util.f1_neg_class, util.weighted_f1]\n", " return categories, classes, inner_cross_validation,\\\n", " outer_cross_validation, model_selection_measure,\\\n", " evaluation_measures\n", "\n", "def evaluate_learning_based_classifier_cross_validated(training_size=None):\n", " np.random.seed(0)\n", " categories, classes, inner_cross_validation,\\\n", " outer_cross_validation, model_selection_measure,\\\n", " evaluation_measures = default_classifier_evaluation_params()\n", " def smaller_cross_validation(outputs):\n", " return cross_validation.StratifiedKFold(outputs, n_folds=2)\n", " inner_cross_validation = lambda outputs: cross_validation.StratifiedKFold(outputs, n_folds=3)\n", " param_grid = topics.new_training_params_cv()['param_grid']\n", " param_grid[0]['C'] = [0.25, 0.5, 1, 3, 7, 15]\n", " full_selection = precompute_full_selection()\n", " features = topics.default_features.copy()\n", " classifier_params = topics.default_classifier_params.copy()\n", " classifier_params['C'] = 0.25\n", " tuned_clf = topics.CategorySelectionClassifier(\n", " full_selection=full_selection,\n", " features=features,\n", " classifier_fn=topics.default_classifier,\n", " max_depth=full_selection._max_depth,\n", " instance_weight=lambda x: 1,\n", " **classifier_params)\n", " print(classes.dtype)\n", " return topics.train_evaluate_topic_classifier_cv(\n", " tuned_clf, categories, classes,\n", " inner_cross_validation,\n", " outer_cross_validation,\n", "# smaller_cross_validation, \n", " model_selection_measure,\n", " evaluation_measures,\n", " param_grid=param_grid,\n", " learning=True,\n", " training_size=training_size)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 111 }, { "cell_type": "code", "collapsed": false, "input": [ "# metrics = evaluate_learning_based_classifier_cross_validated()" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Musicology'<->'Philosophy of music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Philosophy of music'<->'Musicology'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Contemporary classical musicians'<->'Contemporary classical composers'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Israeli music'<->'Middle Eastern music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Freak folk'<->'New Weird America'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Distributed data storage'<->'Distributed data storage systems'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Distributed data storage'<->'File sharing networks'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'File sharing networks'<->'Distributed data storage'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Electronic musical instruments'<->'Quintephones'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Contemporary classical composers'<->'Contemporary classical musicians'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Band-centric video games'<->'Musician video games'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Musician video games'<->'Band-centric video games'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'New Weird America'<->'Freak folk'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cloud storage'<->'File hosting'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cash-Carter family'<->'Johnny Cash'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'John Lennon'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'Yoko Ono'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'McCartney family'<->'Linda McCartney'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Quintephones'<->'Electronic musical instruments'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Igbo language'<->'Igboid languages'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Hearing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Marathi music'<->'Marathi film music composers'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Middle Eastern music'<->'Israeli music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Central American music'<->'Latin American music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Latin American music'<->'Central American music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Marathi film music composers'<->'Marathi music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Johnny Cash'<->'Cash-Carter family'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Linda McCartney'<->'McCartney family'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Wynonna Judd'<->'The Judds'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Yoko Ono'<->'Lennon family'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'John Lennon'<->'Lennon family'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Victoria Beckham'<->'David Beckham'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Latvian classical musicians by instrument'<->'Latvian classical organists'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Chinese language by country'<->'Chinese languages in Singapore'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Magazines'<->'Magazine publishing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Australian electronic music groups'<->'Australian electronic dance music groups'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Basketball venues in the United States'<->'American Basketball Association (2000\u2013present) venues'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Islamic Republic of Iran Broadcasting'<->'Television stations in Iran'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'The Judds'<->'Wynonna Judd'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Latvian classical organists'<->'Latvian classical musicians'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Latvian classical organists'<->'Latvian classical musicians by instrument'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Igboid languages'<->'Igbo language'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Australian electronic dance music groups'<->'Australian electronic music groups'\n" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "bool\n", "Fold 1\n", "Fold" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Musicology'<->'Philosophy of music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Philosophy of music'<->'Musicology'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Contemporary classical musicians'<->'Contemporary classical composers'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Israeli music'<->'Middle Eastern music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Freak folk'<->'New Weird America'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Distributed data storage'<->'Distributed data storage systems'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Distributed data storage'<->'File sharing networks'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'File sharing networks'<->'Distributed data storage'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Electronic musical instruments'<->'Quintephones'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Contemporary classical composers'<->'Contemporary classical musicians'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Band-centric video games'<->'Musician video games'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Musician video games'<->'Band-centric video games'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'New Weird America'<->'Freak folk'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cloud storage'<->'File hosting'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cash-Carter family'<->'Johnny Cash'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'John Lennon'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'Yoko Ono'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'McCartney family'<->'Linda McCartney'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Quintephones'<->'Electronic musical instruments'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Igbo language'<->'Igboid languages'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Hearing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Marathi music'<->'Marathi film music composers'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Middle Eastern music'<->'Israeli music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Central American music'<->'Latin American music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Latin American music'<->'Central American music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Marathi film music composers'<->'Marathi music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Johnny Cash'<->'Cash-Carter family'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Linda McCartney'<->'McCartney family'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Wynonna Judd'<->'The Judds'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Yoko Ono'<->'Lennon family'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'John Lennon'<->'Lennon family'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Victoria Beckham'<->'David Beckham'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Latvian classical musicians by instrument'<->'Latvian classical organists'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Magazines'<->'Magazine publishing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Australian electronic music groups'<->'Australian electronic dance music groups'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Basketball venues in the United States'<->'American Basketball Association (2000\u2013present) venues'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'The Judds'<->'Wynonna Judd'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Latvian classical organists'<->'Latvian classical musicians'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Latvian classical organists'<->'Latvian classical musicians by instrument'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Igboid languages'<->'Igbo language'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Australian electronic dance music groups'<->'Australian electronic music groups'\n" ] }, { "output_type": "stream", "stream": "stdout", "text": [ " 2\n" ] } ], "prompt_number": 112 }, { "cell_type": "code", "collapsed": false, "input": [ "# metric_names = ['accuracy', 'f1_pos', 'f1_neg', 'weighted_f1']\n", "# for metric_name, metric in zip(metric_names, metrics):\n", "# print(\"{:<11s} : {:.3f} +- {:.3f}\".format(metric_name, metric.mean(), metric.std()))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "accuracy : 0.883 +- 0.004\n", "f1_pos : 0.920 +- 0.004\n", "f1_neg : 0.782 +- 0.003\n", "weighted_f1 : 0.879 +- 0.003\n" ] } ], "prompt_number": 115 }, { "cell_type": "code", "collapsed": false, "input": [ "def evaluate_depth_based_classifier_cross_validated(depth):\n", " np.random.seed(0)\n", " categories, classes, inner_cross_validation,\\\n", " outer_cross_validation, model_selection_measure,\\\n", " evaluation_measures = default_classifier_evaluation_params()\n", " def smaller_cross_validation(outputs):\n", " return cross_validation.StratifiedKFold(outputs, n_folds=2)\n", " tuned_clf = depth_based_selection(precompute_full_selection(), 6)\n", " return topics.train_evaluate_topic_classifier_cv(\n", " tuned_clf, categories, classes,\n", " inner_cross_validation,\n", "# smaller_cross_validation,\n", " outer_cross_validation,\n", " model_selection_measure,\n", " evaluation_measures,\n", " param_grid=None,\n", " learning=False)\n", "\n", "# metrics = evaluate_depth_based_classifier_cross_validated(6)\n", "# metric_names = ['accuracy', 'f1_pos', 'f1_neg', 'weighted_f1']\n", "# for metric_name, metric in zip(metric_names, metrics):\n", "# print(\"{:<11s} : {:.3f} +- {:.3f}\".format(metric_name, metric.mean(), metric.std()))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Israeli music'<->'Middle Eastern music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Distributed data storage'<->'Distributed data storage systems'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cloud storage'<->'File hosting'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Cash-Carter family'<->'Johnny Cash'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'John Lennon'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Lennon family'<->'Yoko Ono'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'McCartney family'<->'Linda McCartney'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Igbo language'<->'Igboid languages'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Hearing'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Sound'<->'Music'\n" ] }, { "output_type": "stream", "stream": "stderr", "text": [ "WARNING:root:Loop 'Victoria Beckham'<->'David Beckham'\n" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "Fold 1\n", "Fold 2\n", "Fold 3\n", "Fold 4\n", "Fold 5\n", "Fold 6\n", "Fold 7\n", "Fold 8\n", "Fold 9\n", "Fold 10\n", "accuracy : 0.885 +- 0.029\n", "f1_pos : 0.921 +- 0.020\n", "f1_neg : 0.790 +- 0.058\n", "weighted_f1 : 0.882 +- 0.031\n" ] } ], "prompt_number": 107 }, { "cell_type": "code", "collapsed": false, "input": [ "def default_trained_topic_selection_classifier(precomputed_data={}):\n", " if 'music_clf' not in precomputed_data:\n", " full_selection = precompute_full_selection()\n", " training_data = read_ground_truth_topic_labels()\n", " training_params = topics.new_training_params()\n", " training_params['classifier_params']['C'] = 0.5\n", " training_params['instance_weight_fn'] = lambda x : 1\n", " clf = topics.train_topic_classifier(\n", " training_data.keys(), training_data.values(),\n", " full_selection,\n", " **training_params)\n", " precomputed_data['music_clf'] = clf\n", " return precomputed_data['music_clf']" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 } ], "metadata": {} } ] }
gpl-3.0
eco32i/biodata
sessions/templates/RNA-Seq/06 - PCA.ipynb
1
4999
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "deletable": true, "editable": true, "tags": [] }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "deletable": true, "editable": true, "tags": [] }, "outputs": [], "source": [ "import pandas as pd\n", "import numpy as np\n", "import csv\n", "from sklearn.preprocessing import StandardScaler\n", "from sklearn.decomposition import PCA as sklearnPCA\n", "from plotnine import *" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "!head ../results/count_matrix_DMD.csv" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "df = pd.read_csv('../results/count_matrix_DMD.csv', index_col=None, skipfooter=5, engine='python')\n", "df" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "df.describe()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "#X = df[[f'control{i}' for i in range(1,5)]]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "deletable": true, "editable": true, "jupyter": { "outputs_hidden": false } }, "outputs": [], "source": [ "# build expression matrix\n", " \n", "# Same as .fit() and then .transform()\n", "X_std = StandardScaler().fit_transform(df.values.T)\n", "X_std" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Principal Component Analisys (PCA)\n", "\n", "Linear dimensionality reduction using Singular Value Decomposition of the data to project it to a lower dimensional space. The input data is centered but not scaled for each feature before applying the `SVD`.\n", "\n", "The resulting components are ranked by the amount of variance explained in the data." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "deletable": true, "editable": true, "jupyter": { "outputs_hidden": false }, "tags": [] }, "outputs": [], "source": [ "sklearn_pca = sklearnPCA(n_components=4)\n", "Y = sklearn_pca.fit_transform(X_std)\n", "print(Y)\n", "print(sklearn_pca.explained_variance_)\n", "print(sklearn_pca.explained_variance_ratio_)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "deletable": true, "editable": true, "jupyter": { "outputs_hidden": false } }, "outputs": [], "source": [ "vdf = pd.DataFrame()\n", "vdf['PC'] = [(i+1) for i,x in enumerate(sklearn_pca.explained_variance_ratio_)]\n", "vdf['var'] = sklearn_pca.explained_variance_ratio_\n", "\n", "(ggplot(vdf, aes(x='PC', y='var'))\n", " + geom_point(size=5, alpha=0.3)\n", " + ylab('Explained variance')\n", ")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "exp_df = pd.read_csv('../ref/2022-01-24_NextSeq.csv', header=0, index_col=False, nrows=16, usecols=[1,2,4])\n", "exp_df['Sample_id'] = exp_df.apply(lambda x: x['Sample_id'].split('_')[1], axis=1)\n", "exp_df['Condition'] = exp_df.apply(lambda x: x['Sample'].strip('0123456789-'), axis=1)\n", "exp_df" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "deletable": true, "editable": true, "jupyter": { "outputs_hidden": false } }, "outputs": [], "source": [ "pca_df = pd.DataFrame()\n", "pca_df['cond'] = ['MDX']*4 + ['DMD']*3\n", "pca_df['PC1'] = Y[:,0]\n", "pca_df['PC2'] = Y[:,1]\n", "\n", "pca_df" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "deletable": true, "editable": true, "jupyter": { "outputs_hidden": false } }, "outputs": [], "source": [ "(ggplot(pca_df, aes(x='PC1', y='PC2', color='cond'))\n", " + geom_point(size=5, alpha=0.3)\n", ")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.8.10" } }, "nbformat": 4, "nbformat_minor": 4 }
mit
arcyfelix/Courses
17-09-17-Python-for-Financial-Analysis-and-Algorithmic-Trading/03-General Pandas/02-Series.ipynb
2
8521
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "___\n", "\n", "<a href='http://www.pieriandata.com'> <img src='../Pierian_Data_Logo.png' /></a>\n", "___\n", "# Series" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The first main data type we will learn about for pandas is the Series data type. Let's import Pandas and explore the Series object.\n", "\n", "A Series is very similar to a NumPy array (in fact it is built on top of the NumPy array object). What differentiates the NumPy array from a Series, is that a Series can have axis labels, meaning it can be indexed by a label, instead of just a number location. It also doesn't need to hold numeric data, it can hold any arbitrary Python Object.\n", "\n", "Let's explore this concept through some examples:" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np\n", "import pandas as pd" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Creating a Series\n", "\n", "You can convert a list,numpy array, or dictionary to a Series:" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "labels = ['a', 'b', 'c']\n", "my_list = [10, 20, 30]\n", "arr = np.array([10, 20, 30])\n", "d = {'a': 10,'b': 20,'c': 30}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Using Lists**" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "0 10\n", "1 20\n", "2 30\n", "dtype: int64" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.Series(data = my_list)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "a 10\n", "b 20\n", "c 30\n", "dtype: int64" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.Series(data = my_list,\n", " index = labels)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "a 10\n", "b 20\n", "c 30\n", "dtype: int64" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.Series(my_list, labels)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** NumPy Arrays **" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "0 10\n", "1 20\n", "2 30\n", "dtype: int32" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.Series(arr)" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "a 10\n", "b 20\n", "c 30\n", "dtype: int32" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.Series(arr, labels)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Dictionary**" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "a 10\n", "b 20\n", "c 30\n", "dtype: int64" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.Series(d)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Data in a Series\n", "\n", "A pandas Series can hold a variety of object types:" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "0 a\n", "1 b\n", "2 c\n", "dtype: object" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.Series(data = labels)" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "0 <built-in function sum>\n", "1 <built-in function print>\n", "2 <built-in function len>\n", "dtype: object" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Even functions (although unlikely that you will use this)\n", "pd.Series([sum, print, len])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Using an Index\n", "\n", "The key to using a Series is understanding its index. Pandas makes use of these index names or numbers by allowing for fast look ups of information (works like a hash table or dictionary).\n", "\n", "Let's see some examples of how to grab information from a Series. Let us create two sereis, ser1 and ser2:" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [], "source": [ "ser1 = pd.Series([1, 2, 3, 4], \n", " index = ['USA', 'Germany', 'USSR', 'Japan']) " ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "USA 1\n", "Germany 2\n", "USSR 3\n", "Japan 4\n", "dtype: int64" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser1" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": true }, "outputs": [], "source": [ "ser2 = pd.Series([1, 2, 5, 4], \n", " index = ['USA', 'Germany', 'Italy', 'Japan']) " ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "USA 1\n", "Germany 2\n", "Italy 5\n", "Japan 4\n", "dtype: int64" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser2" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "1" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser1['USA']" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Operations are then also done based off of index:" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "Germany 4.0\n", "Italy NaN\n", "Japan 8.0\n", "USA 2.0\n", "USSR NaN\n", "dtype: float64" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser1 + ser2" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's stop here for now and move on to DataFrames, which will expand on the concept of Series!\n", "# Great Job!" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 1 }
apache-2.0
ramseylab/networkscompbio
class21_reveal_python3.ipynb
1
8356
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Class 21: joint entropy and the REVEAL algorithm" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We'll use the bladder cancer gene expression data to test out the REVEAL algorithm. First, we'll load the data and filter to include only genes for which the median log2 expression level is > 12 (as we did in class session 20). That should give us 164 genes to work with." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Import the Python modules that we will need for this exercise" ] }, { "cell_type": "code", "execution_count": 31, "metadata": {}, "outputs": [], "source": [ "import pandas\n", "import numpy\n", "import itertools" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Load the data file `shared/bladder_cancer_genes_tcga.txt` into a `pandas.DataFrame`, convert it to a `numpy.ndarray` matrix, and print the matrix dimensions" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(4473, 414)\n" ] } ], "source": [ "gene_matrix_for_network_df = pandas.read_csv(\"shared/bladder_cancer_genes_tcga.txt\", sep=\"\\t\")\n", "gene_matrix_for_network = gene_matrix_for_network_df.as_matrix()\n", "print(gene_matrix_for_network.shape)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Filter the matrix to include only rows for which the column-wise median is > 14; matrix should now be 13 x 414." ] }, { "cell_type": "code", "execution_count": 114, "metadata": {}, "outputs": [], "source": [ "genes_keep = numpy.where(numpy.median(gene_matrix_for_network, axis=1) > 14)\n", "matrix_filt = gene_matrix_for_network[genes_keep, ][0]\n", "matrix_filt.shape\n", "N = matrix_filt.shape[0]\n", "M = matrix_filt.shape[1]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Binarize the gene expression matrix using the mean value as a breakpoint, turning it into a NxM matrix of booleans (`True`/`False`). Call it `gene_matrix_binarized`." ] }, { "cell_type": "code", "execution_count": 115, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(13, 414)\n" ] } ], "source": [ "gene_matrix_binarized = numpy.tile(numpy.mean(matrix_filt, axis=1),(M,1)).transpose() < matrix_filt\n", "print(gene_matrix_binarized.shape)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Test your matrix by printing the first four columns of the first four rows:" ] }, { "cell_type": "code", "execution_count": 129, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "array([[False, True, False, False],\n", " [False, True, False, False],\n", " [ True, True, True, False],\n", " [False, True, False, False]], dtype=bool)" ] }, "execution_count": 129, "metadata": {}, "output_type": "execute_result" } ], "source": [ "gene_matrix_binarized[0:4,0:4]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The core part of the REVEAL algorithm is a function that can compute the joint entropy of a collection of binary (TRUE/FALSE) vectors X1, X2, ..., Xn (where length(X1) = length(Xi) = M).\n", "Write a function `entropy_multiple_vecs` that takes as its input a nxM matrix (where n is the number of variables, i.e., genes, and M is the number of samples in which gene expression was measured). The function should use the log2 definition of the Shannon entropy. It should return the joint entropy H(X1, X2, ..., Xn) as a scalar numeric value. I have created a skeleton version of this function for you, in which you can fill in the code. I have also created some test code that you can use to test your function, below." ] }, { "cell_type": "code", "execution_count": 96, "metadata": {}, "outputs": [], "source": [ "def entropy_multiple_vecs(binary_vecs):\n", " ## use shape to get the numbers of rows and columns as [n,M]\n", " [n, M] = binary_vecs.shape\n", " \n", " # make a \"M x n\" dataframe from the transpose of the matrix binary_vecs\n", " binary_df = pandas.DataFrame(binary_vecs.transpose())\n", " \n", " # use the groupby method to obtain a data frame of counts of unique occurrences of the 2^n possible logical states\n", " binary_df_counts = binary_df.groupby(binary_df.columns.values.tolist()).size().values\n", " \n", " # divide the matrix of counts by M, to get a probability matrix\n", " probvec = binary_df_counts/M\n", " \n", " # compute the shannon entropy using the formula\n", " hvec = -probvec*numpy.log2(probvec)\n", " return numpy.sum(hvec)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This test case should produce the value 3.938:" ] }, { "cell_type": "code", "execution_count": 97, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "3.81175425925\n" ] } ], "source": [ "print(entropy_multiple_vecs(gene_matrix_binarized[0:4,]))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Example implementation of the REVEAL algorithm:\n", "We'll go through stage 3" ] }, { "cell_type": "code", "execution_count": 126, "metadata": { "scrolled": false }, "outputs": [], "source": [ "ratio_thresh = 0.1\n", "genes_to_fit = list(range(0,N))\n", "stage = 0\n", "regulators = [None]*N\n", "entropies_for_stages = [None]*N\n", "max_stage = 4\n", "\n", "entropies_for_stages[0] = numpy.zeros(N)\n", "\n", "for i in range(0,N):\n", " single_row_matrix = gene_matrix_binarized[i,:,None].transpose()\n", " entropies_for_stages[0][i] = entropy_multiple_vecs(single_row_matrix)\n", " \n", "genes_to_fit = set(range(0,N))" ] }, { "cell_type": "code", "execution_count": 127, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[[1],\n", " [0],\n", " [8],\n", " None,\n", " None,\n", " None,\n", " [7],\n", " [6],\n", " [2],\n", " [7, 8, 10],\n", " [11],\n", " [10],\n", " [1, 6, 9]]" ] }, "execution_count": 127, "metadata": {}, "output_type": "execute_result" } ], "source": [ "for stage in range(1,max_stage + 1):\n", " for gene in genes_to_fit.copy():\n", " # we are trying to find regulators for gene \"gene\"\n", " poss_regs = set(range(0,N)) - set([gene])\n", " poss_regs_combs = [list(x) for x in itertools.combinations(poss_regs, stage)]\n", " HGX = numpy.array([ entropy_multiple_vecs(gene_matrix_binarized[[gene] + poss_regs_comb,:]) for poss_regs_comb in poss_regs_combs ])\n", " HX = numpy.array([ entropy_multiple_vecs(gene_matrix_binarized[poss_regs_comb,:]) for poss_regs_comb in poss_regs_combs ])\n", " HG = entropies_for_stages[0][gene]\n", " min_value = numpy.min(HGX - HX)\n", " if HG - min_value >= ratio_thresh * HG:\n", " regulators[gene]=poss_regs_combs[numpy.argmin(HGX - HX)]\n", " genes_to_fit.remove(gene)\n", "regulators" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 1 }
apache-2.0
mne-tools/mne-tools.github.io
0.19/_downloads/4b44551162dc4f8dda6c7f0d2af501fe/plot_10_reading_meg_data.ipynb
1
13295
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\n\n# Importing data from MEG devices\n\n\nThis section describes how to read data for various MEG manufacturers.\n :depth: 2\n\n\n\nElekta NeuroMag (.fif)\n======================\n\nNeuromag Raw FIF files can be loaded using :func:`mne.io.read_raw_fif`.\n\nIf the data were recorded with MaxShield on and have not been processed\nwith MaxFilter, they may need to be loaded with\n``mne.io.read_raw_fif(..., allow_maxshield=True)``.\n\n\n\nArtemis123 (.bin)\n=================\nMEG data from the Artemis123 system can be read with\\\n:func:`mne.io.read_raw_artemis123`.\n\n\n\n4-D Neuroimaging / BTI data (dir)\n=================================\n\nMNE-Python provides :func:`mne.io.read_raw_bti` to read and convert 4D / BTI\ndata. This reader function will by default replace the original channel names,\ntypically composed of the letter `A` and the channel number with Neuromag.\nTo import the data, the following input files are mandatory:\n\n- A data file (typically c,rfDC)\n containing the recorded MEG time series.\n\n- A hs_file\n containing the digitizer data.\n\n- A config file\n containing acquisition information and metadata.\n\nBy default :func:`mne.io.read_raw_bti` assumes that these three files are located\nin the same folder.\n\n<div class=\"alert alert-info\"><h4>Note</h4><p>While reading the reference or compensation channels,\n the compensation weights are currently not processed.\n As a result, the :class:`mne.io.Raw` object and the corresponding fif\n file does not include information about the compensation channels\n and the weights to be applied to realize software gradient\n compensation. If the data are saved in the Magnes system are already\n compensated, there will be a small error in the forward calculations,\n whose significance has not been evaluated carefully at this time.</p></div>\n\n\n\nCTF data (dir)\n==============\n\nThe function :func:`mne.io.read_raw_ctf` can be used to read CTF data.\n\nCTF Polhemus data\n-----------------\n\nThe function :func:`mne.channels.read_dig_polhemus_isotrak` can be used to read\nPolhemus data.\n\nApplying software gradient compensation\n---------------------------------------\n\nSince the software gradient compensation employed in CTF\nsystems is a reversible operation, it is possible to change the\ncompensation status of CTF data in the data files as desired. This\nsection contains information about the technical details of the\ncompensation procedure and a description of\n:func:`mne.io.Raw.apply_gradient_compensation`.\n\nThe raw instances returned by :func:`mne.io.read_raw_ctf` contain several\ncompensation matrices which are employed to suppress external disturbances\nwith help of the reference channel data. The reference sensors are\nlocated further away from the brain than the helmet sensors and\nare thus measuring mainly the external disturbances rather than magnetic\nfields originating in the brain. Most often, a compensation matrix\ncorresponding to a scheme nicknamed *Third-order gradient\ncompensation* is employed.\n\nLet us assume that the data contain $n_1$ MEG\nsensor channels, $n_2$ reference sensor\nchannels, and $n_3$ other channels.\nThe data from all channels can be concatenated into a single vector\n\n\\begin{align}x = [x_1^T x_2^T x_3^T]^T\\ ,\\end{align}\n\nwhere $x_1$, $x_2$,\nand $x_3$ are the data vectors corresponding\nto the MEG sensor channels, reference sensor channels, and other\nchannels, respectively. The data before and after compensation,\ndenoted here by $x_{(0)}$ and $x_{(k)}$, respectively,\nare related by\n\n\\begin{align}x_{(k)} = M_{(k)} x_{(0)}\\ ,\\end{align}\n\nwhere the composite compensation matrix is\n\n\\begin{align}M_{(k)} = \\begin{bmatrix}\n I_{n_1} & C_{(k)} & 0 \\\\\n 0 & I_{n_2} & 0 \\\\\n 0 & 0 & I_{n_3}\n \\end{bmatrix}\\ .\\end{align}\n\nIn the above, $C_{(k)}$ is a $n_1$ by $n_2$ compensation\ndata matrix corresponding to compensation \"grade\" $k$.\nIt is easy to see that\n\n\\begin{align}M_{(k)}^{-1} = \\begin{bmatrix}\n I_{n_1} & -C_{(k)} & 0 \\\\\n 0 & I_{n_2} & 0 \\\\\n 0 & 0 & I_{n_3}\n \\end{bmatrix}\\ .\\end{align}\n\nTo convert from compensation grade $k$ to $p$ one\ncan simply multiply the inverse of one compensate compensation matrix\nby another and apply the product to the data:\n\n\\begin{align}x_{(k)} = M_{(k)} M_{(p)}^{-1} x_{(p)}\\ .\\end{align}\n\nThis operation is performed by :meth:`mne.io.Raw.apply_gradient_compensation`.\n\n\n\nKIT MEG system data (.sqd)\n==========================\n\nMNE-Python includes the :func:`mne.io.read_raw_kit` and\n:func:`mne.read_epochs_kit` to read and convert KIT MEG data.\nThis reader function will by default replace the original channel names,\nwhich typically with index starting with zero, with ones with an index starting\nwith one.\n\nTo import continuous data, only the input .sqd or .con file is needed. For\nepochs, an Nx3 matrix containing the event number/corresponding trigger value\nin the third column is needed.\n\nThe following input files are optional:\n\n- A KIT marker file (mrk file) or an array-like containing the locations of\n the HPI coils in the MEG device coordinate system.\n These data are used together with the elp file to establish the coordinate\n transformation between the head and device coordinate systems.\n\n- A Polhemus points file (elp file) or an array-like\n containing the locations of the fiducials and the head-position\n indicator (HPI) coils. These data are usually given in the Polhemus\n head coordinate system.\n\n- A Polhemus head shape data file (hsp file) or an array-like\n containing locations of additional points from the head surface.\n These points must be given in the same coordinate system as that\n used for the elp file.\n\n\n<div class=\"alert alert-info\"><h4>Note</h4><p>The output fif file will use the Neuromag head coordinate system convention,\n see `coordinate_systems`. A coordinate transformation between the\n Polhemus head coordinates and the Neuromag head coordinates is included.</p></div>\n\nBy default, KIT-157 systems assume the first 157 channels are the MEG channels,\nthe next 3 channels are the reference compensation channels, and channels 160\nonwards are designated as miscellaneous input channels (MISC 001, MISC 002,\netc.).\nBy default, KIT-208 systems assume the first 208 channels are the MEG channels,\nthe next 16 channels are the reference compensation channels, and channels 224\nonwards are designated as miscellaneous input channels (MISC 001, MISC 002,\netc.).\n\nIn addition, it is possible to synthesize the digital trigger channel (STI 014)\nfrom available analog trigger channel data by specifying the following\nparameters:\n\n- A list of trigger channels (stim) or default triggers with order: '<' | '>'\n Channel-value correspondence when converting KIT trigger channels to a\n Neuromag-style stim channel. By default, we assume the first eight\n miscellaneous channels are trigger channels. For '<', the largest values are\n assigned to the first channel (little endian; default). For '>', the largest\n values are assigned to the last channel (big endian). Can also be specified\n as a list of trigger channel indexes.\n- The trigger channel slope (slope) : '+' | '-'\n How to interpret values on KIT trigger channels when synthesizing a\n Neuromag-style stim channel. With '+', a positive slope (low-to-high)\n is interpreted as an event. With '-', a negative slope (high-to-low)\n is interpreted as an event.\n- A stimulus threshold (stimthresh) : float\n The threshold level for accepting voltage changes in KIT trigger\n channels as a trigger event.\n\nThe synthesized trigger channel data value at sample $k$ will\nbe:\n\n\\begin{align}s(k) = \\sum_{p = 1}^n {t_p(k) 2^{p - 1}}\\ ,\\end{align}\n\nwhere $t_p(k)$ are the thresholded\nfrom the input channel data d_p(k):\n\n\\begin{align}t_p(k) = \\Bigg\\{ \\begin{array}{l}\n 0 \\text{ if } d_p(k) \\leq t\\\\\n 1 \\text{ if } d_p(k) > t\n \\end{array}\\ .\\end{align}\n\nThe threshold value $t$ can\nbe adjusted with the ``stimthresh`` parameter.\n\n\n\nFieldTrip MEG/EEG data (.mat)\n=============================\n\nMNE-Python includes :func:`mne.io.read_raw_fieldtrip`, :func:`mne.read_epochs_fieldtrip` and :func:`mne.read_evoked_fieldtrip` to read data coming from FieldTrip.\n\nThe data is imported directly from a ``.mat`` file.\n\nThe ``info`` parameter can be explicitly set to ``None``. The import functions will still work but:\n\n#. All channel locations will be in head coordinates.\n#. Channel orientations cannot be guaranteed to be accurate.\n#. All channel types will be set to generic types.\n\nThis is probably fine for anything that does not need that information, but if you intent to do things like interpolation of missing channels, source analysis or look at the RMS pairs of planar gradiometers, you most likely run into problems.\n\nIt is **highly recommended** to provide the ``info`` parameter as well. The ``info`` dictionary can be extracted by loading the original raw data file with the corresponding MNE-Python functions::\n\n original_data = mne.io.read_raw_fiff('original_data.fif', preload=False)\n original_info = original_data.info\n data_from_ft = mne.read_evoked_fieldtrip('evoked_data.mat', original_info)\n\nThe imported data can have less channels than the original data. Only the information for the present ones is extracted from the ``info`` dictionary.\n\nAs of version 0.17, importing FieldTrip data has been tested on a variety of systems with the following results:\n\n+----------+-------------------+-------------------+-------------------+\n| System | Read Raw Data | Read Epoched Data | Read Evoked Data |\n+==========+===================+===================+===================+\n| BTI | Works | Untested | Untested |\n+----------+-------------------+-------------------+-------------------+\n| CNT | Data imported as | Data imported as | Data imported as |\n| | microvolts. | microvolts. | microvolts. |\n| | Otherwise fine. | Otherwise fine. | Otherwise fine. |\n+----------+-------------------+-------------------+-------------------+\n| CTF | Works | Works | Works |\n+----------+-------------------+-------------------+-------------------+\n| EGI | Mostly Ok. Data | Mostly Ok. Data | Mostly Ok. Data |\n| | imported as | imported as | imported as |\n| | microvolts. | microvolts. | microvolts. |\n| | FieldTrip does | FieldTrip does | FieldTrip does |\n| | not apply | not apply | not apply |\n| | calibration. | calibration. | calibration. |\n+----------+-------------------+-------------------+-------------------+\n| KIT | Does not work. | Does not work. | Does not work. |\n| | Channel names are | Channel names are | Channel names are |\n| | different in | different in | different in |\n| | MNE-Python and | MNE-Python and | MNE-Python and |\n| | FieldTrip. | FieldTrip. | FieldTrip. |\n+----------+-------------------+-------------------+-------------------+\n| Neuromag | Works | Works | Works |\n+----------+-------------------+-------------------+-------------------+\n| eximia | Works | Untested | Untested |\n+----------+-------------------+-------------------+-------------------+\n\nCreating MNE data structures from arbitrary data (from memory)\n==============================================================\n\nArbitrary (e.g., simulated or manually read in) raw data can be constructed\nfrom memory by making use of :class:`mne.io.RawArray`, :class:`mne.EpochsArray`\nor :class:`mne.EvokedArray` in combination with :func:`mne.create_info`.\n\nThis functionality is illustrated in `ex-array-classes`. Using 3rd party\nlibraries such as `NEO <https://github.com/NeuralEnsemble/python-neo>`__ in\ncombination with these functions abundant electrophysiological file formats can\nbe easily loaded into MNE.\n" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.4" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
elenduuche/deep-learning
seq2seq/sequence_to_sequence_implementation.ipynb
1
521478
{ "cells": [ { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "# Character Sequence to Sequence \n", "In this notebook, we'll build a model that takes in a sequence of letters, and outputs a sorted version of that sequence. We'll do that using what we've learned so far about Sequence to Sequence models.\n", "\n", "<img src=\"images/sequence-to-sequence.jpg\"/>\n", "\n", "\n", "## Dataset \n", "\n", "The dataset lives in the /data/ folder. At the moment, it is made up of the following files:\n", " * **letters_source.txt**: The list of input letter sequences. Each sequence is its own line. \n", " * **letters_target.txt**: The list of target sequences we'll use in the training process. Each sequence here is a response to the input sequence in letters_source.txt with the same line number." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [], "source": [ "import helper\n", "\n", "source_path = 'data/letters_source.txt'\n", "target_path = 'data/letters_target.txt'\n", "\n", "source_sentences = helper.load_data(source_path)\n", "target_sentences = helper.load_data(target_path)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's start by examining the current state of the dataset. `source_sentences` contains the entire input sequence file as text delimited by newline symbols." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['bsaqq',\n", " 'npy',\n", " 'lbwuj',\n", " 'bqv',\n", " 'kial',\n", " 'tddam',\n", " 'edxpjpg',\n", " 'nspv',\n", " 'huloz',\n", " '']" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "source_sentences[:50].split('\\n')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "`target_sentences` contains the entire output sequence file as text delimited by newline symbols. Each line corresponds to the line from `source_sentences`. `target_sentences` contains a sorted characters of the line." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['abqqs',\n", " 'npy',\n", " 'bjluw',\n", " 'bqv',\n", " 'aikl',\n", " 'addmt',\n", " 'degjppx',\n", " 'npsv',\n", " 'hlouz',\n", " '']" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "target_sentences[:50].split('\\n')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Preprocess\n", "To do anything useful with it, we'll need to turn the characters into a list of integers: " ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Example source sequence\n", "[[22, 11, 9, 13, 13], [24, 29, 10], [17, 22, 19, 23, 15]]\n", "\n", "\n", "Example target sequence\n", "[[9, 22, 13, 13, 11], [24, 29, 10], [22, 15, 17, 23, 19]]\n", "\n", "<s> index is 2\n" ] } ], "source": [ "def extract_character_vocab(data):\n", " special_words = ['<pad>', '<unk>', '<s>', '<\\s>']\n", "\n", " set_words = set([character for line in data.split('\\n') for character in line])\n", " int_to_vocab = {word_i: word for word_i, word in enumerate(special_words + list(set_words))}\n", " vocab_to_int = {word: word_i for word_i, word in int_to_vocab.items()}\n", "\n", " return int_to_vocab, vocab_to_int\n", "\n", "# Build int2letter and letter2int dicts\n", "source_int_to_letter, source_letter_to_int = extract_character_vocab(source_sentences)\n", "target_int_to_letter, target_letter_to_int = extract_character_vocab(target_sentences)\n", "\n", "# Convert characters to ids\n", "source_letter_ids = [[source_letter_to_int.get(letter, source_letter_to_int['<unk>']) for letter in line] for line in source_sentences.split('\\n')]\n", "target_letter_ids = [[target_letter_to_int.get(letter, target_letter_to_int['<unk>']) for letter in line] for line in target_sentences.split('\\n')]\n", "\n", "print(\"Example source sequence\")\n", "print(source_letter_ids[:3])\n", "print(\"\\n\")\n", "print(\"Example target sequence\")\n", "print(target_letter_ids[:3])\n", "print()\n", "print(\"<s> index is {}\".format(target_letter_to_int['<s>']))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The last step in the preprocessing stage is to determine the the longest sequence size in the dataset we'll be using, then pad all the sequences to that length." ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Sequence Length\n", "7\n", "\n", "\n", "Input sequence example\n", "[[22, 11, 9, 13, 13, 0, 0], [24, 29, 10, 0, 0, 0, 0], [17, 22, 19, 23, 15, 0, 0]]\n", "\n", "\n", "Target sequence example\n", "[[9, 22, 13, 13, 11, 0, 0], [24, 29, 10, 0, 0, 0, 0], [22, 15, 17, 23, 19, 0, 0]]\n" ] } ], "source": [ "def pad_id_sequences(source_ids, source_letter_to_int, target_ids, target_letter_to_int, sequence_length):\n", " new_source_ids = [sentence + [source_letter_to_int['<pad>']] * (sequence_length - len(sentence)) \\\n", " for sentence in source_ids]\n", " new_target_ids = [sentence + [target_letter_to_int['<pad>']] * (sequence_length - len(sentence)) \\\n", " for sentence in target_ids]\n", "\n", " return new_source_ids, new_target_ids\n", "\n", "\n", "# Use the longest sequence as sequence length\n", "sequence_length = max(\n", " [len(sentence) for sentence in source_letter_ids] + [len(sentence) for sentence in target_letter_ids])\n", "\n", "# Pad all sequences up to sequence length\n", "source_ids, target_ids = pad_id_sequences(source_letter_ids, source_letter_to_int, \n", " target_letter_ids, target_letter_to_int, sequence_length)\n", "\n", "print(\"Sequence Length\")\n", "print(sequence_length)\n", "print(\"\\n\")\n", "print(\"Input sequence example\")\n", "print(source_ids[:3])\n", "print(\"\\n\")\n", "print(\"Target sequence example\")\n", "print(target_ids[:3])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This is the final shape we need them to be in. We can now proceed to building the model." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Model\n", "#### Check the Version of TensorFlow\n", "This will check to make sure you have the correct version of TensorFlow" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "TensorFlow Version: 1.0.0\n" ] } ], "source": [ "from distutils.version import LooseVersion\n", "import tensorflow as tf\n", "\n", "# Check TensorFlow Version\n", "assert LooseVersion(tf.__version__) >= LooseVersion('1.0'), 'Please use TensorFlow version 1.0 or newer'\n", "print('TensorFlow Version: {}'.format(tf.__version__))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Hyperparameters" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Number of Epochs\n", "epochs = 60\n", "# Batch Size\n", "batch_size = 128\n", "# RNN Size\n", "rnn_size = 50\n", "# Number of Layers\n", "num_layers = 2\n", "# Embedding Size\n", "encoding_embedding_size = 13\n", "decoding_embedding_size = 13\n", "# Learning Rate\n", "learning_rate = 0.001" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Input" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [], "source": [ "input_data = tf.placeholder(tf.int32, [batch_size, sequence_length])\n", "targets = tf.placeholder(tf.int32, [batch_size, sequence_length])\n", "lr = tf.placeholder(tf.float32)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Sequence to Sequence\n", "The decoder is probably the most complex part of this model. We need to declare a decoder for the training phase, and a decoder for the inference/prediction phase. These two decoders will share their parameters (so that all the weights and biases that are set during the training phase can be used when we deploy the model).\n", "\n", "\n", "First, we'll need to define the type of cell we'll be using for our decoder RNNs. We opted for LSTM.\n", "\n", "Then, we'll need to hookup a fully connected layer to the output of decoder. The output of this layer tells us which word the RNN is choosing to output at each time step.\n", "\n", "Let's first look at the inference/prediction decoder. It is the one we'll use when we deploy our chatbot to the wild (even though it comes second in the actual code).\n", "\n", "<img src=\"images/sequence-to-sequence-inference-decoder.png\"/>\n", "\n", "We'll hand our encoder hidden state to the inference decoder and have it process its output. TensorFlow handles most of the logic for us. We just have to use [`tf.contrib.seq2seq.simple_decoder_fn_inference`](https://www.tensorflow.org/api_docs/python/tf/contrib/seq2seq/simple_decoder_fn_inference) and [`tf.contrib.seq2seq.dynamic_rnn_decoder`](https://www.tensorflow.org/api_docs/python/tf/contrib/seq2seq/dynamic_rnn_decoder) and supply them with the appropriate inputs.\n", "\n", "Notice that the inference decoder feeds the output of each time step as an input to the next.\n", "\n", "As for the training decoder, we can think of it as looking like this:\n", "<img src=\"images/sequence-to-sequence-training-decoder.png\"/>\n", "\n", "The training decoder **does not** feed the output of each time step to the next. Rather, the inputs to the decoder time steps are the target sequence from the training dataset (the orange letters)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Encoding\n", "- Embed the input data using [`tf.contrib.layers.embed_sequence`](https://www.tensorflow.org/api_docs/python/tf/contrib/layers/embed_sequence)\n", "- Pass the embedded input into a stack of RNNs. Save the RNN state and ignore the output." ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Length of letter to int is 30\n", "encoding embedding size is 13\n" ] }, { "ename": "ValueError", "evalue": "Variable rnn/multi_rnn_cell/cell_0/basic_lstm_cell/weights already exists, disallowed. Did you mean to set reuse=True in VarScope? Originally defined at:\n\n File \"/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/contrib/rnn/python/ops/core_rnn_cell_impl.py\", line 747, in _linear\n \"weights\", [total_arg_size, output_size], dtype=dtype)\n File \"/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/contrib/rnn/python/ops/core_rnn_cell_impl.py\", line 179, in __call__\n concat = _linear([inputs, h], 4 * self._num_units, True, scope=scope)\n File \"/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/contrib/rnn/python/ops/core_rnn_cell_impl.py\", line 655, in __call__\n cur_inp, new_state = cell(cur_inp, cur_state)\n", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mValueError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-18-364bea842314>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 9\u001b[0m \u001b[0;31m# Encoder\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 10\u001b[0m \u001b[0menc_cell\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mtf\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mcontrib\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mrnn\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mMultiRNNCell\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0mtf\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mcontrib\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mrnn\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mBasicLSTMCell\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mrnn_size\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m]\u001b[0m \u001b[0;34m*\u001b[0m \u001b[0mnum_layers\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m---> 11\u001b[0;31m \u001b[0m_\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0menc_state\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mtf\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mnn\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mdynamic_rnn\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0menc_cell\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0menc_embed_input\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mdtype\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mtf\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mfloat32\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/python/ops/rnn.py\u001b[0m in \u001b[0;36mdynamic_rnn\u001b[0;34m(cell, inputs, sequence_length, initial_state, dtype, parallel_iterations, swap_memory, time_major, scope)\u001b[0m\n\u001b[1;32m 543\u001b[0m \u001b[0mswap_memory\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mswap_memory\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 544\u001b[0m \u001b[0msequence_length\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0msequence_length\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 545\u001b[0;31m dtype=dtype)\n\u001b[0m\u001b[1;32m 546\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 547\u001b[0m \u001b[0;31m# Outputs of _dynamic_rnn_loop are always shaped [time, batch, depth].\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/python/ops/rnn.py\u001b[0m in \u001b[0;36m_dynamic_rnn_loop\u001b[0;34m(cell, inputs, initial_state, parallel_iterations, swap_memory, sequence_length, dtype)\u001b[0m\n\u001b[1;32m 710\u001b[0m \u001b[0mloop_vars\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mtime\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0moutput_ta\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mstate\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 711\u001b[0m \u001b[0mparallel_iterations\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mparallel_iterations\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 712\u001b[0;31m swap_memory=swap_memory)\n\u001b[0m\u001b[1;32m 713\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 714\u001b[0m \u001b[0;31m# Unpack final output if not using output tuples.\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/python/ops/control_flow_ops.py\u001b[0m in \u001b[0;36mwhile_loop\u001b[0;34m(cond, body, loop_vars, shape_invariants, parallel_iterations, back_prop, swap_memory, name)\u001b[0m\n\u001b[1;32m 2624\u001b[0m \u001b[0mcontext\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mWhileContext\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mparallel_iterations\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mback_prop\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mswap_memory\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mname\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 2625\u001b[0m \u001b[0mops\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0madd_to_collection\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mops\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mGraphKeys\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mWHILE_CONTEXT\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mcontext\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m-> 2626\u001b[0;31m \u001b[0mresult\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mcontext\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mBuildLoop\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mcond\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mbody\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mloop_vars\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mshape_invariants\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 2627\u001b[0m \u001b[0;32mreturn\u001b[0m \u001b[0mresult\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 2628\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/python/ops/control_flow_ops.py\u001b[0m in \u001b[0;36mBuildLoop\u001b[0;34m(self, pred, body, loop_vars, shape_invariants)\u001b[0m\n\u001b[1;32m 2457\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mEnter\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 2458\u001b[0m original_body_result, exit_vars = self._BuildLoop(\n\u001b[0;32m-> 2459\u001b[0;31m pred, body, original_loop_vars, loop_vars, shape_invariants)\n\u001b[0m\u001b[1;32m 2460\u001b[0m \u001b[0;32mfinally\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 2461\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mExit\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/python/ops/control_flow_ops.py\u001b[0m in \u001b[0;36m_BuildLoop\u001b[0;34m(self, pred, body, original_loop_vars, loop_vars, shape_invariants)\u001b[0m\n\u001b[1;32m 2407\u001b[0m \u001b[0mstructure\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0moriginal_loop_vars\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 2408\u001b[0m flat_sequence=vars_for_body_with_tensor_arrays)\n\u001b[0;32m-> 2409\u001b[0;31m \u001b[0mbody_result\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mbody\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m*\u001b[0m\u001b[0mpacked_vars_for_body\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 2410\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0;32mnot\u001b[0m \u001b[0mnest\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mis_sequence\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mbody_result\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 2411\u001b[0m \u001b[0mbody_result\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;34m[\u001b[0m\u001b[0mbody_result\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/python/ops/rnn.py\u001b[0m in \u001b[0;36m_time_step\u001b[0;34m(time, output_ta_t, state)\u001b[0m\n\u001b[1;32m 695\u001b[0m skip_conditionals=True)\n\u001b[1;32m 696\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 697\u001b[0;31m \u001b[0;34m(\u001b[0m\u001b[0moutput\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mnew_state\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mcall_cell\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 698\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 699\u001b[0m \u001b[0;31m# Pack state if using state tuples\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/python/ops/rnn.py\u001b[0m in \u001b[0;36m<lambda>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 681\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 682\u001b[0m \u001b[0minput_t\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mnest\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mpack_sequence_as\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mstructure\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0minputs\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mflat_sequence\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0minput_t\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 683\u001b[0;31m \u001b[0mcall_cell\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;32mlambda\u001b[0m\u001b[0;34m:\u001b[0m \u001b[0mcell\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0minput_t\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mstate\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 684\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 685\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0msequence_length\u001b[0m \u001b[0;32mis\u001b[0m \u001b[0;32mnot\u001b[0m \u001b[0;32mNone\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/contrib/rnn/python/ops/core_rnn_cell_impl.py\u001b[0m in \u001b[0;36m__call__\u001b[0;34m(self, inputs, state, scope)\u001b[0m\n\u001b[1;32m 653\u001b[0m state, [0, cur_state_pos], [-1, cell.state_size])\n\u001b[1;32m 654\u001b[0m \u001b[0mcur_state_pos\u001b[0m \u001b[0;34m+=\u001b[0m \u001b[0mcell\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mstate_size\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 655\u001b[0;31m \u001b[0mcur_inp\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mnew_state\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mcell\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mcur_inp\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mcur_state\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 656\u001b[0m \u001b[0mnew_states\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mappend\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mnew_state\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 657\u001b[0m new_states = (tuple(new_states) if self._state_is_tuple else\n", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/contrib/rnn/python/ops/core_rnn_cell_impl.py\u001b[0m in \u001b[0;36m__call__\u001b[0;34m(self, inputs, state, scope)\u001b[0m\n\u001b[1;32m 177\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 178\u001b[0m \u001b[0mc\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mh\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0marray_ops\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0msplit\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mvalue\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mstate\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mnum_or_size_splits\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;36m2\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0maxis\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;36m1\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 179\u001b[0;31m \u001b[0mconcat\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0m_linear\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0minputs\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mh\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;36m4\u001b[0m \u001b[0;34m*\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0m_num_units\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;32mTrue\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mscope\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mscope\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 180\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 181\u001b[0m \u001b[0;31m# i = input_gate, j = new_input, f = forget_gate, o = output_gate\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/contrib/rnn/python/ops/core_rnn_cell_impl.py\u001b[0m in \u001b[0;36m_linear\u001b[0;34m(args, output_size, bias, bias_start, scope)\u001b[0m\n\u001b[1;32m 745\u001b[0m \u001b[0;32mwith\u001b[0m \u001b[0mvs\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mvariable_scope\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mscope\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;32mas\u001b[0m \u001b[0mouter_scope\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 746\u001b[0m weights = vs.get_variable(\n\u001b[0;32m--> 747\u001b[0;31m \"weights\", [total_arg_size, output_size], dtype=dtype)\n\u001b[0m\u001b[1;32m 748\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mlen\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0margs\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;34m==\u001b[0m \u001b[0;36m1\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 749\u001b[0m \u001b[0mres\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mmath_ops\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mmatmul\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0margs\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;36m0\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mweights\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/python/ops/variable_scope.py\u001b[0m in \u001b[0;36mget_variable\u001b[0;34m(name, shape, dtype, initializer, regularizer, trainable, collections, caching_device, partitioner, validate_shape, custom_getter)\u001b[0m\n\u001b[1;32m 986\u001b[0m \u001b[0mcollections\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mcollections\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mcaching_device\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mcaching_device\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 987\u001b[0m \u001b[0mpartitioner\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mpartitioner\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mvalidate_shape\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mvalidate_shape\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 988\u001b[0;31m custom_getter=custom_getter)\n\u001b[0m\u001b[1;32m 989\u001b[0m get_variable_or_local_docstring = (\n\u001b[1;32m 990\u001b[0m \"\"\"%s\n", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/python/ops/variable_scope.py\u001b[0m in \u001b[0;36mget_variable\u001b[0;34m(self, var_store, name, shape, dtype, initializer, regularizer, trainable, collections, caching_device, partitioner, validate_shape, custom_getter)\u001b[0m\n\u001b[1;32m 888\u001b[0m \u001b[0mcollections\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mcollections\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mcaching_device\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mcaching_device\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 889\u001b[0m \u001b[0mpartitioner\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mpartitioner\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mvalidate_shape\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mvalidate_shape\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 890\u001b[0;31m custom_getter=custom_getter)\n\u001b[0m\u001b[1;32m 891\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 892\u001b[0m def _get_partitioned_variable(self,\n", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/python/ops/variable_scope.py\u001b[0m in \u001b[0;36mget_variable\u001b[0;34m(self, name, shape, dtype, initializer, regularizer, reuse, trainable, collections, caching_device, partitioner, validate_shape, custom_getter)\u001b[0m\n\u001b[1;32m 346\u001b[0m \u001b[0mreuse\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mreuse\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mtrainable\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mtrainable\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mcollections\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mcollections\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 347\u001b[0m \u001b[0mcaching_device\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mcaching_device\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mpartitioner\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mpartitioner\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 348\u001b[0;31m validate_shape=validate_shape)\n\u001b[0m\u001b[1;32m 349\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 350\u001b[0m def _get_partitioned_variable(\n", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/python/ops/variable_scope.py\u001b[0m in \u001b[0;36m_true_getter\u001b[0;34m(name, shape, dtype, initializer, regularizer, reuse, trainable, collections, caching_device, partitioner, validate_shape)\u001b[0m\n\u001b[1;32m 331\u001b[0m \u001b[0minitializer\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0minitializer\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mregularizer\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mregularizer\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mreuse\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mreuse\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 332\u001b[0m \u001b[0mtrainable\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mtrainable\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mcollections\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mcollections\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 333\u001b[0;31m caching_device=caching_device, validate_shape=validate_shape)\n\u001b[0m\u001b[1;32m 334\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 335\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mcustom_getter\u001b[0m \u001b[0;32mis\u001b[0m \u001b[0;32mnot\u001b[0m \u001b[0;32mNone\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/python/ops/variable_scope.py\u001b[0m in \u001b[0;36m_get_single_variable\u001b[0;34m(self, name, shape, dtype, initializer, regularizer, partition_info, reuse, trainable, collections, caching_device, validate_shape)\u001b[0m\n\u001b[1;32m 637\u001b[0m \u001b[0;34m\" Did you mean to set reuse=True in VarScope? \"\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 638\u001b[0m \"Originally defined at:\\n\\n%s\" % (\n\u001b[0;32m--> 639\u001b[0;31m name, \"\".join(traceback.format_list(tb))))\n\u001b[0m\u001b[1;32m 640\u001b[0m \u001b[0mfound_var\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0m_vars\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0mname\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 641\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0;32mnot\u001b[0m \u001b[0mshape\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mis_compatible_with\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mfound_var\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mget_shape\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;31mValueError\u001b[0m: Variable rnn/multi_rnn_cell/cell_0/basic_lstm_cell/weights already exists, disallowed. Did you mean to set reuse=True in VarScope? Originally defined at:\n\n File \"/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/contrib/rnn/python/ops/core_rnn_cell_impl.py\", line 747, in _linear\n \"weights\", [total_arg_size, output_size], dtype=dtype)\n File \"/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/contrib/rnn/python/ops/core_rnn_cell_impl.py\", line 179, in __call__\n concat = _linear([inputs, h], 4 * self._num_units, True, scope=scope)\n File \"/Users/Elendu/anaconda/envs/tensorflow/lib/python3.5/site-packages/tensorflow/contrib/rnn/python/ops/core_rnn_cell_impl.py\", line 655, in __call__\n cur_inp, new_state = cell(cur_inp, cur_state)\n" ] } ], "source": [ "#print(source_letter_to_int)\n", "source_vocab_size = len(source_letter_to_int)\n", "print(\"Length of letter to int is {}\".format(source_vocab_size))\n", "print(\"encoding embedding size is {}\".format(encoding_embedding_size))\n", "\n", "# Encoder embedding\n", "enc_embed_input = tf.contrib.layers.embed_sequence(input_data, source_vocab_size, encoding_embedding_size)\n", "\n", "# Encoder\n", "enc_cell = tf.contrib.rnn.MultiRNNCell([tf.contrib.rnn.BasicLSTMCell(rnn_size)] * num_layers)\n", "_, enc_state = tf.nn.dynamic_rnn(enc_cell, enc_embed_input, dtype=tf.float32)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Process Decoding Input" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Targets\n", "[[ 0 1 2 3 4 5 6]\n", " [ 7 8 9 10 11 12 13]]\n", "\n", "\n", "Processed Decoding Input\n", "[[ 2 0 1 2 3 4 5]\n", " [ 2 7 8 9 10 11 12]]\n", "targets shape is (128, 7) and ending shape is (128, 6)\n", "demonstration_outputs shape is (128, 7)\n" ] } ], "source": [ "import numpy as np\n", "\n", "# Process the input we'll feed to the decoder\n", "ending = tf.strided_slice(targets, [0, 0], [batch_size, -1], [1, 1])\n", "dec_input = tf.concat([tf.fill([batch_size, 1], target_letter_to_int['<s>']), ending], 1)\n", "\n", "#Demonstration/Example\n", "demonstration_outputs = np.reshape(range(batch_size * sequence_length), (batch_size, sequence_length))\n", "\n", "sess = tf.InteractiveSession()\n", "print(\"Targets\")\n", "print(demonstration_outputs[:2])\n", "print(\"\\n\")\n", "print(\"Processed Decoding Input\")\n", "print(sess.run(dec_input, {targets: demonstration_outputs})[:2])\n", "print(\"targets shape is {} and ending shape is {}\".format(targets.shape, ending.shape))\n", "print(\"demonstration_outputs shape is {}\".format(demonstration_outputs.shape))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Decoding\n", "- Embed the decoding input\n", "- Build the decoding RNNs\n", "- Build the output layer in the decoding scope, so the weight and bias can be shared between the training and inference decoders." ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [], "source": [ "target_vocab_size = len(target_letter_to_int)\n", "#print(target_vocab_size, \" : \", decoding_embedding_size)\n", "# Decoder Embedding\n", "dec_embeddings = tf.Variable(tf.random_uniform([target_vocab_size, decoding_embedding_size]))\n", "dec_embed_input = tf.nn.embedding_lookup(dec_embeddings, dec_input)\n", "#print(dec_input, target_vocab_size, decoding_embedding_size)\n", "\n", "# Decoder RNNs\n", "dec_cell = tf.contrib.rnn.MultiRNNCell([tf.contrib.rnn.BasicLSTMCell(rnn_size)] * num_layers)\n", "\n", "with tf.variable_scope(\"decoding\") as decoding_scope:\n", " # Output Layer\n", " output_fn = lambda x: tf.contrib.layers.fully_connected(x, target_vocab_size, None, scope=decoding_scope)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Decoder During Training\n", "- Build the training decoder using [`tf.contrib.seq2seq.simple_decoder_fn_train`](https://www.tensorflow.org/api_docs/python/tf/contrib/seq2seq/simple_decoder_fn_train) and [`tf.contrib.seq2seq.dynamic_rnn_decoder`](https://www.tensorflow.org/api_docs/python/tf/contrib/seq2seq/dynamic_rnn_decoder).\n", "- Apply the output layer to the output of the training decoder" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [], "source": [ "with tf.variable_scope(\"decoding\") as decoding_scope:\n", " # Training Decoder\n", " train_decoder_fn = tf.contrib.seq2seq.simple_decoder_fn_train(enc_state)\n", " train_pred, _, _ = tf.contrib.seq2seq.dynamic_rnn_decoder(\n", " dec_cell, train_decoder_fn, dec_embed_input, sequence_length, scope=decoding_scope)\n", " \n", " # Apply output function\n", " train_logits = output_fn(train_pred)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Decoder During Inference\n", "- Reuse the weights the biases from the training decoder using [`tf.variable_scope(\"decoding\", reuse=True)`](https://www.tensorflow.org/api_docs/python/tf/variable_scope)\n", "- Build the inference decoder using [`tf.contrib.seq2seq.simple_decoder_fn_inference`](https://www.tensorflow.org/api_docs/python/tf/contrib/seq2seq/simple_decoder_fn_inference) and [`tf.contrib.seq2seq.dynamic_rnn_decoder`](https://www.tensorflow.org/api_docs/python/tf/contrib/seq2seq/dynamic_rnn_decoder).\n", " - The output function is applied to the output in this step " ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(?, ?, 30)\n" ] } ], "source": [ "with tf.variable_scope(\"decoding\", reuse=True) as decoding_scope:\n", " # Inference Decoder\n", " infer_decoder_fn = tf.contrib.seq2seq.simple_decoder_fn_inference(\n", " output_fn, enc_state, dec_embeddings, target_letter_to_int['<s>'], target_letter_to_int['<\\s>'], \n", " sequence_length - 1, target_vocab_size)\n", " inference_logits, _, _ = tf.contrib.seq2seq.dynamic_rnn_decoder(dec_cell, infer_decoder_fn, scope=decoding_scope)\n", " print(inference_logits.shape)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Optimization\n", "Our loss function is [`tf.contrib.seq2seq.sequence_loss`](https://www.tensorflow.org/api_docs/python/tf/contrib/seq2seq/sequence_loss) provided by the tensor flow seq2seq module. It calculates a weighted cross-entropy loss for the output logits." ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Loss function\n", "cost = tf.contrib.seq2seq.sequence_loss(\n", " train_logits,\n", " targets,\n", " tf.ones([batch_size, sequence_length]))\n", "\n", "# Optimizer\n", "optimizer = tf.train.AdamOptimizer(lr)\n", "\n", "# Gradient Clipping\n", "gradients = optimizer.compute_gradients(cost)\n", "capped_gradients = [(tf.clip_by_value(grad, -1., 1.), var) for grad, var in gradients if grad is not None]\n", "train_op = optimizer.apply_gradients(capped_gradients)" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "## Train\n", "We're now ready to train our model. If you run into OOM (out of memory) issues during training, try to decrease the batch_size." ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Epoch 0 Batch 0/78 - Train Accuracy: 0.048, Validation Accuracy: 0.062, Loss: 3.406\n", "Epoch 0 Batch 1/78 - Train Accuracy: 0.422, Validation Accuracy: 0.449, Loss: 3.379\n", "Epoch 0 Batch 2/78 - Train Accuracy: 0.461, Validation Accuracy: 0.449, Loss: 3.345\n", "Epoch 0 Batch 3/78 - Train Accuracy: 0.450, Validation Accuracy: 0.449, Loss: 3.314\n", "Epoch 0 Batch 4/78 - Train Accuracy: 0.446, Validation Accuracy: 0.449, Loss: 3.283\n", "Epoch 0 Batch 5/78 - Train Accuracy: 0.445, Validation Accuracy: 0.449, Loss: 3.249\n", "Epoch 0 Batch 6/78 - Train Accuracy: 0.432, Validation Accuracy: 0.449, Loss: 3.221\n", "Epoch 0 Batch 7/78 - Train Accuracy: 0.432, Validation Accuracy: 0.449, Loss: 3.178\n", "Epoch 0 Batch 8/78 - Train Accuracy: 0.417, Validation Accuracy: 0.449, Loss: 3.146\n", "Epoch 0 Batch 9/78 - Train Accuracy: 0.451, Validation Accuracy: 0.449, Loss: 3.065\n", "Epoch 0 Batch 10/78 - Train Accuracy: 0.402, Validation Accuracy: 0.449, Loss: 3.049\n", "Epoch 0 Batch 11/78 - Train Accuracy: 0.392, Validation Accuracy: 0.449, Loss: 2.998\n", "Epoch 0 Batch 12/78 - Train Accuracy: 0.403, Validation Accuracy: 0.449, Loss: 2.927\n", "Epoch 0 Batch 13/78 - Train Accuracy: 0.406, Validation Accuracy: 0.449, Loss: 2.835\n", "Epoch 0 Batch 14/78 - Train Accuracy: 0.481, Validation Accuracy: 0.449, Loss: 2.627\n", "Epoch 0 Batch 15/78 - Train Accuracy: 0.402, Validation Accuracy: 0.449, Loss: 2.693\n", "Epoch 0 Batch 16/78 - Train Accuracy: 0.452, Validation Accuracy: 0.449, Loss: 2.487\n", "Epoch 0 Batch 17/78 - Train Accuracy: 0.464, Validation Accuracy: 0.449, Loss: 2.375\n", "Epoch 0 Batch 18/78 - Train Accuracy: 0.417, Validation Accuracy: 0.449, Loss: 2.439\n", "Epoch 0 Batch 19/78 - Train Accuracy: 0.445, Validation Accuracy: 0.449, Loss: 2.312\n", "Epoch 0 Batch 20/78 - Train Accuracy: 0.478, Validation Accuracy: 0.449, Loss: 2.187\n", "Epoch 0 Batch 21/78 - Train Accuracy: 0.398, Validation Accuracy: 0.449, Loss: 2.464\n", "Epoch 0 Batch 22/78 - Train Accuracy: 0.426, Validation Accuracy: 0.449, Loss: 2.391\n", "Epoch 0 Batch 23/78 - Train Accuracy: 0.472, Validation Accuracy: 0.449, Loss: 2.244\n", "Epoch 0 Batch 24/78 - Train Accuracy: 0.438, Validation Accuracy: 0.449, Loss: 2.390\n", "Epoch 0 Batch 25/78 - Train Accuracy: 0.424, Validation Accuracy: 0.449, Loss: 2.438\n", "Epoch 0 Batch 26/78 - Train Accuracy: 0.392, Validation Accuracy: 0.449, Loss: 2.555\n", "Epoch 0 Batch 27/78 - Train Accuracy: 0.414, Validation Accuracy: 0.449, Loss: 2.438\n", "Epoch 0 Batch 28/78 - Train Accuracy: 0.413, Validation Accuracy: 0.449, Loss: 2.412\n", "Epoch 0 Batch 29/78 - Train Accuracy: 0.451, Validation Accuracy: 0.449, Loss: 2.245\n", "Epoch 0 Batch 30/78 - Train Accuracy: 0.442, Validation Accuracy: 0.449, Loss: 2.255\n", "Epoch 0 Batch 31/78 - Train Accuracy: 0.461, Validation Accuracy: 0.449, Loss: 2.166\n", "Epoch 0 Batch 32/78 - Train Accuracy: 0.433, Validation Accuracy: 0.449, Loss: 2.272\n", "Epoch 0 Batch 33/78 - Train Accuracy: 0.404, Validation Accuracy: 0.449, Loss: 2.348\n", "Epoch 0 Batch 34/78 - Train Accuracy: 0.440, Validation Accuracy: 0.449, Loss: 2.213\n", "Epoch 0 Batch 35/78 - Train Accuracy: 0.430, Validation Accuracy: 0.449, Loss: 2.243\n", "Epoch 0 Batch 36/78 - Train Accuracy: 0.412, Validation Accuracy: 0.449, Loss: 2.293\n", "Epoch 0 Batch 37/78 - Train Accuracy: 0.421, Validation Accuracy: 0.449, Loss: 2.266\n", "Epoch 0 Batch 38/78 - Train Accuracy: 0.426, Validation Accuracy: 0.450, Loss: 2.231\n", "Epoch 0 Batch 39/78 - Train Accuracy: 0.401, Validation Accuracy: 0.450, Loss: 2.314\n", "Epoch 0 Batch 40/78 - Train Accuracy: 0.434, Validation Accuracy: 0.450, Loss: 2.201\n", "Epoch 0 Batch 41/78 - Train Accuracy: 0.394, Validation Accuracy: 0.450, Loss: 2.321\n", "Epoch 0 Batch 42/78 - Train Accuracy: 0.431, Validation Accuracy: 0.450, Loss: 2.191\n", "Epoch 0 Batch 43/78 - Train Accuracy: 0.386, Validation Accuracy: 0.451, Loss: 2.321\n", "Epoch 0 Batch 44/78 - Train Accuracy: 0.438, Validation Accuracy: 0.453, Loss: 2.152\n", "Epoch 0 Batch 45/78 - Train Accuracy: 0.426, Validation Accuracy: 0.453, Loss: 2.166\n", "Epoch 0 Batch 46/78 - Train Accuracy: 0.416, Validation Accuracy: 0.454, Loss: 2.191\n", "Epoch 0 Batch 47/78 - Train Accuracy: 0.470, Validation Accuracy: 0.453, Loss: 2.004\n", "Epoch 0 Batch 48/78 - Train Accuracy: 0.472, Validation Accuracy: 0.454, Loss: 2.003\n", "Epoch 0 Batch 49/78 - Train Accuracy: 0.411, Validation Accuracy: 0.460, Loss: 2.166\n", "Epoch 0 Batch 50/78 - Train Accuracy: 0.369, Validation Accuracy: 0.461, Loss: 2.309\n", "Epoch 0 Batch 51/78 - Train Accuracy: 0.435, Validation Accuracy: 0.460, Loss: 2.078\n", "Epoch 0 Batch 52/78 - Train Accuracy: 0.446, Validation Accuracy: 0.460, Loss: 2.070\n", "Epoch 0 Batch 53/78 - Train Accuracy: 0.433, Validation Accuracy: 0.462, Loss: 2.094\n", "Epoch 0 Batch 54/78 - Train Accuracy: 0.410, Validation Accuracy: 0.462, Loss: 2.166\n", "Epoch 0 Batch 55/78 - Train Accuracy: 0.407, Validation Accuracy: 0.460, Loss: 2.167\n", "Epoch 0 Batch 56/78 - Train Accuracy: 0.435, Validation Accuracy: 0.460, Loss: 2.090\n", "Epoch 0 Batch 57/78 - Train Accuracy: 0.415, Validation Accuracy: 0.462, Loss: 2.173\n", "Epoch 0 Batch 58/78 - Train Accuracy: 0.403, Validation Accuracy: 0.463, Loss: 2.196\n", "Epoch 0 Batch 59/78 - Train Accuracy: 0.416, Validation Accuracy: 0.462, Loss: 2.139\n", "Epoch 0 Batch 60/78 - Train Accuracy: 0.481, Validation Accuracy: 0.463, Loss: 1.906\n", "Epoch 0 Batch 61/78 - Train Accuracy: 0.414, Validation Accuracy: 0.463, Loss: 2.133\n", "Epoch 0 Batch 62/78 - Train Accuracy: 0.439, Validation Accuracy: 0.464, Loss: 2.036\n", "Epoch 0 Batch 63/78 - Train Accuracy: 0.467, Validation Accuracy: 0.463, Loss: 1.957\n", "Epoch 0 Batch 64/78 - Train Accuracy: 0.450, Validation Accuracy: 0.464, Loss: 1.986\n", "Epoch 0 Batch 65/78 - Train Accuracy: 0.458, Validation Accuracy: 0.462, Loss: 1.957\n", "Epoch 0 Batch 66/78 - Train Accuracy: 0.464, Validation Accuracy: 0.463, Loss: 1.948\n", "Epoch 0 Batch 67/78 - Train Accuracy: 0.467, Validation Accuracy: 0.464, Loss: 1.942\n", "Epoch 0 Batch 68/78 - Train Accuracy: 0.431, Validation Accuracy: 0.467, Loss: 2.034\n", "Epoch 0 Batch 69/78 - Train Accuracy: 0.498, Validation Accuracy: 0.468, Loss: 1.807\n", "Epoch 0 Batch 70/78 - Train Accuracy: 0.448, Validation Accuracy: 0.464, Loss: 1.999\n", "Epoch 0 Batch 71/78 - Train Accuracy: 0.462, Validation Accuracy: 0.465, Loss: 1.916\n", "Epoch 0 Batch 72/78 - Train Accuracy: 0.425, Validation Accuracy: 0.467, Loss: 2.052\n", "Epoch 0 Batch 73/78 - Train Accuracy: 0.394, Validation Accuracy: 0.467, Loss: 2.166\n", "Epoch 0 Batch 74/78 - Train Accuracy: 0.459, Validation Accuracy: 0.467, Loss: 1.944\n", "Epoch 0 Batch 75/78 - Train Accuracy: 0.448, Validation Accuracy: 0.468, Loss: 1.941\n", "Epoch 0 Batch 76/78 - Train Accuracy: 0.422, Validation Accuracy: 0.470, Loss: 2.057\n", "Epoch 1 Batch 0/78 - Train Accuracy: 0.431, Validation Accuracy: 0.471, Loss: 2.018\n", "Epoch 1 Batch 1/78 - Train Accuracy: 0.443, Validation Accuracy: 0.471, Loss: 1.951\n", "Epoch 1 Batch 2/78 - Train Accuracy: 0.478, Validation Accuracy: 0.473, Loss: 1.832\n", "Epoch 1 Batch 3/78 - Train Accuracy: 0.472, Validation Accuracy: 0.473, Loss: 1.858\n", "Epoch 1 Batch 4/78 - Train Accuracy: 0.469, Validation Accuracy: 0.473, Loss: 1.861\n", "Epoch 1 Batch 5/78 - Train Accuracy: 0.467, Validation Accuracy: 0.474, Loss: 1.858\n", "Epoch 1 Batch 6/78 - Train Accuracy: 0.456, Validation Accuracy: 0.473, Loss: 1.895\n", "Epoch 1 Batch 7/78 - Train Accuracy: 0.465, Validation Accuracy: 0.472, Loss: 1.896\n", "Epoch 1 Batch 8/78 - Train Accuracy: 0.442, Validation Accuracy: 0.472, Loss: 1.946\n", "Epoch 1 Batch 9/78 - Train Accuracy: 0.471, Validation Accuracy: 0.471, Loss: 1.825\n", "Epoch 1 Batch 10/78 - Train Accuracy: 0.427, Validation Accuracy: 0.472, Loss: 1.969\n", "Epoch 1 Batch 11/78 - Train Accuracy: 0.417, Validation Accuracy: 0.472, Loss: 1.994\n", "Epoch 1 Batch 12/78 - Train Accuracy: 0.431, Validation Accuracy: 0.474, Loss: 1.957\n", "Epoch 1 Batch 13/78 - Train Accuracy: 0.430, Validation Accuracy: 0.475, Loss: 1.938\n", "Epoch 1 Batch 14/78 - Train Accuracy: 0.502, Validation Accuracy: 0.477, Loss: 1.716\n", "Epoch 1 Batch 15/78 - Train Accuracy: 0.429, Validation Accuracy: 0.475, Loss: 1.950\n", "Epoch 1 Batch 16/78 - Train Accuracy: 0.475, Validation Accuracy: 0.477, Loss: 1.788\n", "Epoch 1 Batch 17/78 - Train Accuracy: 0.497, Validation Accuracy: 0.479, Loss: 1.736\n", "Epoch 1 Batch 18/78 - Train Accuracy: 0.458, Validation Accuracy: 0.479, Loss: 1.863\n", "Epoch 1 Batch 19/78 - Train Accuracy: 0.472, Validation Accuracy: 0.481, Loss: 1.803\n", "Epoch 1 Batch 20/78 - Train Accuracy: 0.513, Validation Accuracy: 0.483, Loss: 1.688\n", "Epoch 1 Batch 21/78 - Train Accuracy: 0.443, Validation Accuracy: 0.483, Loss: 1.915\n", "Epoch 1 Batch 22/78 - Train Accuracy: 0.470, Validation Accuracy: 0.484, Loss: 1.830\n", "Epoch 1 Batch 23/78 - Train Accuracy: 0.506, Validation Accuracy: 0.485, Loss: 1.689\n", "Epoch 1 Batch 24/78 - Train Accuracy: 0.472, Validation Accuracy: 0.484, Loss: 1.766\n", "Epoch 1 Batch 25/78 - Train Accuracy: 0.453, Validation Accuracy: 0.482, Loss: 1.823\n", "Epoch 1 Batch 26/78 - Train Accuracy: 0.443, Validation Accuracy: 0.482, Loss: 1.886\n", "Epoch 1 Batch 27/78 - Train Accuracy: 0.444, Validation Accuracy: 0.483, Loss: 1.840\n", "Epoch 1 Batch 28/78 - Train Accuracy: 0.467, Validation Accuracy: 0.483, Loss: 1.827\n", "Epoch 1 Batch 29/78 - Train Accuracy: 0.487, Validation Accuracy: 0.484, Loss: 1.722\n", "Epoch 1 Batch 30/78 - Train Accuracy: 0.479, Validation Accuracy: 0.483, Loss: 1.733\n", "Epoch 1 Batch 31/78 - Train Accuracy: 0.498, Validation Accuracy: 0.481, Loss: 1.661\n", "Epoch 1 Batch 32/78 - Train Accuracy: 0.485, Validation Accuracy: 0.478, Loss: 1.754\n", "Epoch 1 Batch 33/78 - Train Accuracy: 0.451, Validation Accuracy: 0.481, Loss: 1.825\n", "Epoch 1 Batch 34/78 - Train Accuracy: 0.478, Validation Accuracy: 0.483, Loss: 1.708\n", "Epoch 1 Batch 35/78 - Train Accuracy: 0.479, Validation Accuracy: 0.482, Loss: 1.740\n", "Epoch 1 Batch 36/78 - Train Accuracy: 0.469, Validation Accuracy: 0.483, Loss: 1.765\n", "Epoch 1 Batch 37/78 - Train Accuracy: 0.464, Validation Accuracy: 0.487, Loss: 1.764\n", "Epoch 1 Batch 38/78 - Train Accuracy: 0.461, Validation Accuracy: 0.484, Loss: 1.734\n", "Epoch 1 Batch 39/78 - Train Accuracy: 0.459, Validation Accuracy: 0.482, Loss: 1.800\n", "Epoch 1 Batch 40/78 - Train Accuracy: 0.477, Validation Accuracy: 0.485, Loss: 1.707\n", "Epoch 1 Batch 41/78 - Train Accuracy: 0.440, Validation Accuracy: 0.488, Loss: 1.815\n", "Epoch 1 Batch 42/78 - Train Accuracy: 0.478, Validation Accuracy: 0.484, Loss: 1.704\n", "Epoch 1 Batch 43/78 - Train Accuracy: 0.429, Validation Accuracy: 0.487, Loss: 1.826\n", "Epoch 1 Batch 44/78 - Train Accuracy: 0.492, Validation Accuracy: 0.484, Loss: 1.676\n", "Epoch 1 Batch 45/78 - Train Accuracy: 0.473, Validation Accuracy: 0.484, Loss: 1.687\n", "Epoch 1 Batch 46/78 - Train Accuracy: 0.458, Validation Accuracy: 0.484, Loss: 1.741\n", "Epoch 1 Batch 47/78 - Train Accuracy: 0.509, Validation Accuracy: 0.489, Loss: 1.580\n", "Epoch 1 Batch 48/78 - Train Accuracy: 0.508, Validation Accuracy: 0.488, Loss: 1.593\n", "Epoch 1 Batch 49/78 - Train Accuracy: 0.451, Validation Accuracy: 0.493, Loss: 1.725\n", "Epoch 1 Batch 50/78 - Train Accuracy: 0.433, Validation Accuracy: 0.490, Loss: 1.838\n", "Epoch 1 Batch 51/78 - Train Accuracy: 0.490, Validation Accuracy: 0.487, Loss: 1.647\n", "Epoch 1 Batch 52/78 - Train Accuracy: 0.480, Validation Accuracy: 0.487, Loss: 1.644\n", "Epoch 1 Batch 53/78 - Train Accuracy: 0.479, Validation Accuracy: 0.494, Loss: 1.665\n", "Epoch 1 Batch 54/78 - Train Accuracy: 0.464, Validation Accuracy: 0.493, Loss: 1.744\n", "Epoch 1 Batch 55/78 - Train Accuracy: 0.453, Validation Accuracy: 0.491, Loss: 1.742\n", "Epoch 1 Batch 56/78 - Train Accuracy: 0.468, Validation Accuracy: 0.493, Loss: 1.679\n", "Epoch 1 Batch 57/78 - Train Accuracy: 0.450, Validation Accuracy: 0.492, Loss: 1.753\n", "Epoch 1 Batch 58/78 - Train Accuracy: 0.449, Validation Accuracy: 0.493, Loss: 1.771\n", "Epoch 1 Batch 59/78 - Train Accuracy: 0.458, Validation Accuracy: 0.496, Loss: 1.732\n", "Epoch 1 Batch 60/78 - Train Accuracy: 0.517, Validation Accuracy: 0.497, Loss: 1.556\n", "Epoch 1 Batch 61/78 - Train Accuracy: 0.460, Validation Accuracy: 0.498, Loss: 1.732\n", "Epoch 1 Batch 62/78 - Train Accuracy: 0.484, Validation Accuracy: 0.504, Loss: 1.651\n", "Epoch 1 Batch 63/78 - Train Accuracy: 0.503, Validation Accuracy: 0.501, Loss: 1.578\n", "Epoch 1 Batch 64/78 - Train Accuracy: 0.498, Validation Accuracy: 0.506, Loss: 1.589\n", "Epoch 1 Batch 65/78 - Train Accuracy: 0.503, Validation Accuracy: 0.502, Loss: 1.583\n", "Epoch 1 Batch 66/78 - Train Accuracy: 0.516, Validation Accuracy: 0.517, Loss: 1.582\n", "Epoch 1 Batch 67/78 - Train Accuracy: 0.526, Validation Accuracy: 0.518, Loss: 1.564\n", "Epoch 1 Batch 68/78 - Train Accuracy: 0.483, Validation Accuracy: 0.520, Loss: 1.642\n", "Epoch 1 Batch 69/78 - Train Accuracy: 0.538, Validation Accuracy: 0.520, Loss: 1.465\n", "Epoch 1 Batch 70/78 - Train Accuracy: 0.500, Validation Accuracy: 0.521, Loss: 1.612\n", "Epoch 1 Batch 71/78 - Train Accuracy: 0.511, Validation Accuracy: 0.516, Loss: 1.557\n", "Epoch 1 Batch 72/78 - Train Accuracy: 0.471, Validation Accuracy: 0.516, Loss: 1.656\n", "Epoch 1 Batch 73/78 - Train Accuracy: 0.440, Validation Accuracy: 0.511, Loss: 1.753\n", "Epoch 1 Batch 74/78 - Train Accuracy: 0.493, Validation Accuracy: 0.523, Loss: 1.590\n", "Epoch 1 Batch 75/78 - Train Accuracy: 0.488, Validation Accuracy: 0.517, Loss: 1.581\n", "Epoch 1 Batch 76/78 - Train Accuracy: 0.481, Validation Accuracy: 0.504, Loss: 1.649\n", "Epoch 2 Batch 0/78 - Train Accuracy: 0.489, Validation Accuracy: 0.508, Loss: 1.637\n", "Epoch 2 Batch 1/78 - Train Accuracy: 0.502, Validation Accuracy: 0.513, Loss: 1.589\n", "Epoch 2 Batch 2/78 - Train Accuracy: 0.528, Validation Accuracy: 0.512, Loss: 1.505\n", "Epoch 2 Batch 3/78 - Train Accuracy: 0.526, Validation Accuracy: 0.503, Loss: 1.507\n", "Epoch 2 Batch 4/78 - Train Accuracy: 0.526, Validation Accuracy: 0.501, Loss: 1.525\n", "Epoch 2 Batch 5/78 - Train Accuracy: 0.520, Validation Accuracy: 0.513, Loss: 1.502\n", "Epoch 2 Batch 6/78 - Train Accuracy: 0.511, Validation Accuracy: 0.516, Loss: 1.552\n", "Epoch 2 Batch 7/78 - Train Accuracy: 0.498, Validation Accuracy: 0.515, Loss: 1.552\n", "Epoch 2 Batch 8/78 - Train Accuracy: 0.492, Validation Accuracy: 0.506, Loss: 1.590\n", "Epoch 2 Batch 9/78 - Train Accuracy: 0.526, Validation Accuracy: 0.513, Loss: 1.515\n", "Epoch 2 Batch 10/78 - Train Accuracy: 0.489, Validation Accuracy: 0.528, Loss: 1.598\n", "Epoch 2 Batch 11/78 - Train Accuracy: 0.477, Validation Accuracy: 0.538, Loss: 1.653\n", "Epoch 2 Batch 12/78 - Train Accuracy: 0.498, Validation Accuracy: 0.523, Loss: 1.598\n", "Epoch 2 Batch 13/78 - Train Accuracy: 0.494, Validation Accuracy: 0.516, Loss: 1.582\n", "Epoch 2 Batch 14/78 - Train Accuracy: 0.557, Validation Accuracy: 0.528, Loss: 1.404\n", "Epoch 2 Batch 15/78 - Train Accuracy: 0.484, Validation Accuracy: 0.532, Loss: 1.611\n", "Epoch 2 Batch 16/78 - Train Accuracy: 0.533, Validation Accuracy: 0.526, Loss: 1.482\n", "Epoch 2 Batch 17/78 - Train Accuracy: 0.551, Validation Accuracy: 0.520, Loss: 1.432\n", "Epoch 2 Batch 18/78 - Train Accuracy: 0.502, Validation Accuracy: 0.521, Loss: 1.544\n", "Epoch 2 Batch 19/78 - Train Accuracy: 0.527, Validation Accuracy: 0.533, Loss: 1.491\n", "Epoch 2 Batch 20/78 - Train Accuracy: 0.552, Validation Accuracy: 0.537, Loss: 1.399\n", "Epoch 2 Batch 21/78 - Train Accuracy: 0.494, Validation Accuracy: 0.529, Loss: 1.574\n", "Epoch 2 Batch 22/78 - Train Accuracy: 0.515, Validation Accuracy: 0.520, Loss: 1.500\n", "Epoch 2 Batch 23/78 - Train Accuracy: 0.548, Validation Accuracy: 0.526, Loss: 1.409\n", "Epoch 2 Batch 24/78 - Train Accuracy: 0.531, Validation Accuracy: 0.536, Loss: 1.471\n", "Epoch 2 Batch 25/78 - Train Accuracy: 0.523, Validation Accuracy: 0.535, Loss: 1.522\n", "Epoch 2 Batch 26/78 - Train Accuracy: 0.510, Validation Accuracy: 0.517, Loss: 1.560\n", "Epoch 2 Batch 27/78 - Train Accuracy: 0.510, Validation Accuracy: 0.518, Loss: 1.551\n", "Epoch 2 Batch 28/78 - Train Accuracy: 0.502, Validation Accuracy: 0.532, Loss: 1.527\n", "Epoch 2 Batch 29/78 - Train Accuracy: 0.557, Validation Accuracy: 0.535, Loss: 1.440\n", "Epoch 2 Batch 30/78 - Train Accuracy: 0.504, Validation Accuracy: 0.530, Loss: 1.476\n", "Epoch 2 Batch 31/78 - Train Accuracy: 0.550, Validation Accuracy: 0.527, Loss: 1.386\n", "Epoch 2 Batch 32/78 - Train Accuracy: 0.510, Validation Accuracy: 0.530, Loss: 1.491\n", "Epoch 2 Batch 33/78 - Train Accuracy: 0.510, Validation Accuracy: 0.542, Loss: 1.527\n", "Epoch 2 Batch 34/78 - Train Accuracy: 0.530, Validation Accuracy: 0.536, Loss: 1.433\n", "Epoch 2 Batch 35/78 - Train Accuracy: 0.507, Validation Accuracy: 0.529, Loss: 1.470\n", "Epoch 2 Batch 36/78 - Train Accuracy: 0.530, Validation Accuracy: 0.537, Loss: 1.493\n", "Epoch 2 Batch 37/78 - Train Accuracy: 0.518, Validation Accuracy: 0.537, Loss: 1.496\n", "Epoch 2 Batch 38/78 - Train Accuracy: 0.542, Validation Accuracy: 0.547, Loss: 1.454\n", "Epoch 2 Batch 39/78 - Train Accuracy: 0.490, Validation Accuracy: 0.545, Loss: 1.521\n", "Epoch 2 Batch 40/78 - Train Accuracy: 0.541, Validation Accuracy: 0.541, Loss: 1.445\n", "Epoch 2 Batch 41/78 - Train Accuracy: 0.490, Validation Accuracy: 0.550, Loss: 1.529\n", "Epoch 2 Batch 42/78 - Train Accuracy: 0.552, Validation Accuracy: 0.551, Loss: 1.428\n", "Epoch 2 Batch 43/78 - Train Accuracy: 0.484, Validation Accuracy: 0.548, Loss: 1.535\n", "Epoch 2 Batch 44/78 - Train Accuracy: 0.554, Validation Accuracy: 0.547, Loss: 1.405\n", "Epoch 2 Batch 45/78 - Train Accuracy: 0.548, Validation Accuracy: 0.554, Loss: 1.400\n", "Epoch 2 Batch 46/78 - Train Accuracy: 0.529, Validation Accuracy: 0.554, Loss: 1.472\n", "Epoch 2 Batch 47/78 - Train Accuracy: 0.565, Validation Accuracy: 0.549, Loss: 1.347\n", "Epoch 2 Batch 48/78 - Train Accuracy: 0.566, Validation Accuracy: 0.552, Loss: 1.336\n", "Epoch 2 Batch 49/78 - Train Accuracy: 0.519, Validation Accuracy: 0.555, Loss: 1.465\n", "Epoch 2 Batch 50/78 - Train Accuracy: 0.490, Validation Accuracy: 0.558, Loss: 1.544\n", "Epoch 2 Batch 51/78 - Train Accuracy: 0.552, Validation Accuracy: 0.556, Loss: 1.375\n", "Epoch 2 Batch 52/78 - Train Accuracy: 0.532, Validation Accuracy: 0.557, Loss: 1.394\n", "Epoch 2 Batch 53/78 - Train Accuracy: 0.550, Validation Accuracy: 0.559, Loss: 1.399\n", "Epoch 2 Batch 54/78 - Train Accuracy: 0.522, Validation Accuracy: 0.555, Loss: 1.453\n", "Epoch 2 Batch 55/78 - Train Accuracy: 0.532, Validation Accuracy: 0.562, Loss: 1.470\n", "Epoch 2 Batch 56/78 - Train Accuracy: 0.508, Validation Accuracy: 0.561, Loss: 1.422\n", "Epoch 2 Batch 57/78 - Train Accuracy: 0.533, Validation Accuracy: 0.559, Loss: 1.446\n", "Epoch 2 Batch 58/78 - Train Accuracy: 0.504, Validation Accuracy: 0.567, Loss: 1.484\n", "Epoch 2 Batch 59/78 - Train Accuracy: 0.518, Validation Accuracy: 0.558, Loss: 1.463\n", "Epoch 2 Batch 60/78 - Train Accuracy: 0.583, Validation Accuracy: 0.561, Loss: 1.292\n", "Epoch 2 Batch 61/78 - Train Accuracy: 0.532, Validation Accuracy: 0.570, Loss: 1.436\n", "Epoch 2 Batch 62/78 - Train Accuracy: 0.567, Validation Accuracy: 0.571, Loss: 1.356\n", "Epoch 2 Batch 63/78 - Train Accuracy: 0.566, Validation Accuracy: 0.573, Loss: 1.331\n", "Epoch 2 Batch 64/78 - Train Accuracy: 0.555, Validation Accuracy: 0.576, Loss: 1.348\n", "Epoch 2 Batch 65/78 - Train Accuracy: 0.566, Validation Accuracy: 0.579, Loss: 1.315\n", "Epoch 2 Batch 66/78 - Train Accuracy: 0.581, Validation Accuracy: 0.580, Loss: 1.296\n", "Epoch 2 Batch 67/78 - Train Accuracy: 0.570, Validation Accuracy: 0.579, Loss: 1.297\n", "Epoch 2 Batch 68/78 - Train Accuracy: 0.517, Validation Accuracy: 0.584, Loss: 1.392\n", "Epoch 2 Batch 69/78 - Train Accuracy: 0.626, Validation Accuracy: 0.584, Loss: 1.214\n", "Epoch 2 Batch 70/78 - Train Accuracy: 0.577, Validation Accuracy: 0.584, Loss: 1.332\n", "Epoch 2 Batch 71/78 - Train Accuracy: 0.584, Validation Accuracy: 0.584, Loss: 1.309\n", "Epoch 2 Batch 72/78 - Train Accuracy: 0.538, Validation Accuracy: 0.581, Loss: 1.375\n", "Epoch 2 Batch 73/78 - Train Accuracy: 0.503, Validation Accuracy: 0.585, Loss: 1.475\n", "Epoch 2 Batch 74/78 - Train Accuracy: 0.592, Validation Accuracy: 0.586, Loss: 1.311\n", "Epoch 2 Batch 75/78 - Train Accuracy: 0.576, Validation Accuracy: 0.588, Loss: 1.317\n", "Epoch 2 Batch 76/78 - Train Accuracy: 0.552, Validation Accuracy: 0.589, Loss: 1.376\n", "Epoch 3 Batch 0/78 - Train Accuracy: 0.564, Validation Accuracy: 0.588, Loss: 1.356\n", "Epoch 3 Batch 1/78 - Train Accuracy: 0.562, Validation Accuracy: 0.581, Loss: 1.319\n", "Epoch 3 Batch 2/78 - Train Accuracy: 0.590, Validation Accuracy: 0.588, Loss: 1.231\n", "Epoch 3 Batch 3/78 - Train Accuracy: 0.596, Validation Accuracy: 0.587, Loss: 1.256\n", "Epoch 3 Batch 4/78 - Train Accuracy: 0.592, Validation Accuracy: 0.588, Loss: 1.265\n", "Epoch 3 Batch 5/78 - Train Accuracy: 0.580, Validation Accuracy: 0.593, Loss: 1.278\n", "Epoch 3 Batch 6/78 - Train Accuracy: 0.569, Validation Accuracy: 0.583, Loss: 1.272\n", "Epoch 3 Batch 7/78 - Train Accuracy: 0.585, Validation Accuracy: 0.590, Loss: 1.278\n", "Epoch 3 Batch 8/78 - Train Accuracy: 0.551, Validation Accuracy: 0.592, Loss: 1.329\n", "Epoch 3 Batch 9/78 - Train Accuracy: 0.596, Validation Accuracy: 0.592, Loss: 1.241\n", "Epoch 3 Batch 10/78 - Train Accuracy: 0.565, Validation Accuracy: 0.592, Loss: 1.307\n", "Epoch 3 Batch 11/78 - Train Accuracy: 0.526, Validation Accuracy: 0.592, Loss: 1.357\n", "Epoch 3 Batch 12/78 - Train Accuracy: 0.569, Validation Accuracy: 0.595, Loss: 1.330\n", "Epoch 3 Batch 13/78 - Train Accuracy: 0.586, Validation Accuracy: 0.604, Loss: 1.310\n", "Epoch 3 Batch 14/78 - Train Accuracy: 0.629, Validation Accuracy: 0.596, Loss: 1.155\n", "Epoch 3 Batch 15/78 - Train Accuracy: 0.561, Validation Accuracy: 0.599, Loss: 1.321\n", "Epoch 3 Batch 16/78 - Train Accuracy: 0.623, Validation Accuracy: 0.602, Loss: 1.208\n", "Epoch 3 Batch 17/78 - Train Accuracy: 0.613, Validation Accuracy: 0.606, Loss: 1.159\n", "Epoch 3 Batch 18/78 - Train Accuracy: 0.569, Validation Accuracy: 0.605, Loss: 1.292\n", "Epoch 3 Batch 19/78 - Train Accuracy: 0.596, Validation Accuracy: 0.598, Loss: 1.216\n", "Epoch 3 Batch 20/78 - Train Accuracy: 0.621, Validation Accuracy: 0.605, Loss: 1.129\n", "Epoch 3 Batch 21/78 - Train Accuracy: 0.575, Validation Accuracy: 0.602, Loss: 1.311\n", "Epoch 3 Batch 22/78 - Train Accuracy: 0.595, Validation Accuracy: 0.597, Loss: 1.249\n", "Epoch 3 Batch 23/78 - Train Accuracy: 0.628, Validation Accuracy: 0.597, Loss: 1.148\n", "Epoch 3 Batch 24/78 - Train Accuracy: 0.605, Validation Accuracy: 0.598, Loss: 1.234\n", "Epoch 3 Batch 25/78 - Train Accuracy: 0.589, Validation Accuracy: 0.600, Loss: 1.242\n", "Epoch 3 Batch 26/78 - Train Accuracy: 0.578, Validation Accuracy: 0.602, Loss: 1.294\n", "Epoch 3 Batch 27/78 - Train Accuracy: 0.562, Validation Accuracy: 0.602, Loss: 1.293\n", "Epoch 3 Batch 28/78 - Train Accuracy: 0.587, Validation Accuracy: 0.602, Loss: 1.260\n", "Epoch 3 Batch 29/78 - Train Accuracy: 0.614, Validation Accuracy: 0.599, Loss: 1.191\n", "Epoch 3 Batch 30/78 - Train Accuracy: 0.596, Validation Accuracy: 0.600, Loss: 1.221\n", "Epoch 3 Batch 31/78 - Train Accuracy: 0.621, Validation Accuracy: 0.602, Loss: 1.144\n", "Epoch 3 Batch 32/78 - Train Accuracy: 0.586, Validation Accuracy: 0.600, Loss: 1.232\n", "Epoch 3 Batch 33/78 - Train Accuracy: 0.570, Validation Accuracy: 0.606, Loss: 1.267\n", "Epoch 3 Batch 34/78 - Train Accuracy: 0.604, Validation Accuracy: 0.605, Loss: 1.187\n", "Epoch 3 Batch 35/78 - Train Accuracy: 0.588, Validation Accuracy: 0.608, Loss: 1.213\n", "Epoch 3 Batch 36/78 - Train Accuracy: 0.578, Validation Accuracy: 0.604, Loss: 1.263\n", "Epoch 3 Batch 37/78 - Train Accuracy: 0.586, Validation Accuracy: 0.610, Loss: 1.243\n", "Epoch 3 Batch 38/78 - Train Accuracy: 0.608, Validation Accuracy: 0.614, Loss: 1.197\n", "Epoch 3 Batch 39/78 - Train Accuracy: 0.592, Validation Accuracy: 0.613, Loss: 1.250\n", "Epoch 3 Batch 40/78 - Train Accuracy: 0.606, Validation Accuracy: 0.623, Loss: 1.191\n", "Epoch 3 Batch 41/78 - Train Accuracy: 0.575, Validation Accuracy: 0.618, Loss: 1.280\n", "Epoch 3 Batch 42/78 - Train Accuracy: 0.615, Validation Accuracy: 0.617, Loss: 1.181\n", "Epoch 3 Batch 43/78 - Train Accuracy: 0.559, Validation Accuracy: 0.614, Loss: 1.270\n", "Epoch 3 Batch 44/78 - Train Accuracy: 0.614, Validation Accuracy: 0.619, Loss: 1.171\n", "Epoch 3 Batch 45/78 - Train Accuracy: 0.623, Validation Accuracy: 0.623, Loss: 1.178\n", "Epoch 3 Batch 46/78 - Train Accuracy: 0.593, Validation Accuracy: 0.622, Loss: 1.239\n", "Epoch 3 Batch 47/78 - Train Accuracy: 0.617, Validation Accuracy: 0.619, Loss: 1.128\n", "Epoch 3 Batch 48/78 - Train Accuracy: 0.642, Validation Accuracy: 0.619, Loss: 1.116\n", "Epoch 3 Batch 49/78 - Train Accuracy: 0.595, Validation Accuracy: 0.625, Loss: 1.222\n", "Epoch 3 Batch 50/78 - Train Accuracy: 0.557, Validation Accuracy: 0.619, Loss: 1.304\n", "Epoch 3 Batch 51/78 - Train Accuracy: 0.614, Validation Accuracy: 0.618, Loss: 1.142\n", "Epoch 3 Batch 52/78 - Train Accuracy: 0.613, Validation Accuracy: 0.627, Loss: 1.173\n", "Epoch 3 Batch 53/78 - Train Accuracy: 0.625, Validation Accuracy: 0.629, Loss: 1.186\n", "Epoch 3 Batch 54/78 - Train Accuracy: 0.583, Validation Accuracy: 0.632, Loss: 1.246\n", "Epoch 3 Batch 55/78 - Train Accuracy: 0.583, Validation Accuracy: 0.629, Loss: 1.237\n", "Epoch 3 Batch 56/78 - Train Accuracy: 0.587, Validation Accuracy: 0.625, Loss: 1.198\n", "Epoch 3 Batch 57/78 - Train Accuracy: 0.626, Validation Accuracy: 0.623, Loss: 1.206\n", "Epoch 3 Batch 58/78 - Train Accuracy: 0.590, Validation Accuracy: 0.631, Loss: 1.254\n", "Epoch 3 Batch 59/78 - Train Accuracy: 0.569, Validation Accuracy: 0.627, Loss: 1.249\n", "Epoch 3 Batch 60/78 - Train Accuracy: 0.654, Validation Accuracy: 0.629, Loss: 1.078\n", "Epoch 3 Batch 61/78 - Train Accuracy: 0.617, Validation Accuracy: 0.637, Loss: 1.209\n", "Epoch 3 Batch 62/78 - Train Accuracy: 0.634, Validation Accuracy: 0.633, Loss: 1.140\n", "Epoch 3 Batch 63/78 - Train Accuracy: 0.634, Validation Accuracy: 0.627, Loss: 1.117\n", "Epoch 3 Batch 64/78 - Train Accuracy: 0.615, Validation Accuracy: 0.622, Loss: 1.146\n", "Epoch 3 Batch 65/78 - Train Accuracy: 0.610, Validation Accuracy: 0.628, Loss: 1.115\n", "Epoch 3 Batch 66/78 - Train Accuracy: 0.643, Validation Accuracy: 0.628, Loss: 1.095\n", "Epoch 3 Batch 67/78 - Train Accuracy: 0.672, Validation Accuracy: 0.634, Loss: 1.092\n", "Epoch 3 Batch 68/78 - Train Accuracy: 0.594, Validation Accuracy: 0.631, Loss: 1.181\n", "Epoch 3 Batch 69/78 - Train Accuracy: 0.679, Validation Accuracy: 0.636, Loss: 1.028\n", "Epoch 3 Batch 70/78 - Train Accuracy: 0.638, Validation Accuracy: 0.640, Loss: 1.122\n", "Epoch 3 Batch 71/78 - Train Accuracy: 0.657, Validation Accuracy: 0.646, Loss: 1.096\n", "Epoch 3 Batch 72/78 - Train Accuracy: 0.607, Validation Accuracy: 0.643, Loss: 1.176\n", "Epoch 3 Batch 73/78 - Train Accuracy: 0.570, Validation Accuracy: 0.640, Loss: 1.253\n", "Epoch 3 Batch 74/78 - Train Accuracy: 0.644, Validation Accuracy: 0.637, Loss: 1.109\n", "Epoch 3 Batch 75/78 - Train Accuracy: 0.640, Validation Accuracy: 0.643, Loss: 1.116\n", "Epoch 3 Batch 76/78 - Train Accuracy: 0.615, Validation Accuracy: 0.650, Loss: 1.187\n", "Epoch 4 Batch 0/78 - Train Accuracy: 0.638, Validation Accuracy: 0.650, Loss: 1.145\n", "Epoch 4 Batch 1/78 - Train Accuracy: 0.638, Validation Accuracy: 0.644, Loss: 1.108\n", "Epoch 4 Batch 2/78 - Train Accuracy: 0.652, Validation Accuracy: 0.650, Loss: 1.048\n", "Epoch 4 Batch 3/78 - Train Accuracy: 0.656, Validation Accuracy: 0.646, Loss: 1.058\n", "Epoch 4 Batch 4/78 - Train Accuracy: 0.651, Validation Accuracy: 0.652, Loss: 1.079\n", "Epoch 4 Batch 5/78 - Train Accuracy: 0.643, Validation Accuracy: 0.655, Loss: 1.087\n", "Epoch 4 Batch 6/78 - Train Accuracy: 0.651, Validation Accuracy: 0.655, Loss: 1.075\n", "Epoch 4 Batch 7/78 - Train Accuracy: 0.657, Validation Accuracy: 0.653, Loss: 1.087\n", "Epoch 4 Batch 8/78 - Train Accuracy: 0.634, Validation Accuracy: 0.650, Loss: 1.127\n", "Epoch 4 Batch 9/78 - Train Accuracy: 0.644, Validation Accuracy: 0.646, Loss: 1.044\n", "Epoch 4 Batch 10/78 - Train Accuracy: 0.646, Validation Accuracy: 0.644, Loss: 1.120\n", "Epoch 4 Batch 11/78 - Train Accuracy: 0.619, Validation Accuracy: 0.651, Loss: 1.161\n", "Epoch 4 Batch 12/78 - Train Accuracy: 0.626, Validation Accuracy: 0.650, Loss: 1.143\n", "Epoch 4 Batch 13/78 - Train Accuracy: 0.635, Validation Accuracy: 0.652, Loss: 1.111\n", "Epoch 4 Batch 14/78 - Train Accuracy: 0.686, Validation Accuracy: 0.654, Loss: 0.970\n", "Epoch 4 Batch 15/78 - Train Accuracy: 0.625, Validation Accuracy: 0.660, Loss: 1.140\n", "Epoch 4 Batch 16/78 - Train Accuracy: 0.673, Validation Accuracy: 0.658, Loss: 1.009\n", "Epoch 4 Batch 17/78 - Train Accuracy: 0.693, Validation Accuracy: 0.658, Loss: 0.981\n", "Epoch 4 Batch 18/78 - Train Accuracy: 0.638, Validation Accuracy: 0.656, Loss: 1.096\n", "Epoch 4 Batch 19/78 - Train Accuracy: 0.656, Validation Accuracy: 0.665, Loss: 1.041\n", "Epoch 4 Batch 20/78 - Train Accuracy: 0.698, Validation Accuracy: 0.667, Loss: 0.928\n", "Epoch 4 Batch 21/78 - Train Accuracy: 0.629, Validation Accuracy: 0.663, Loss: 1.110\n", "Epoch 4 Batch 22/78 - Train Accuracy: 0.647, Validation Accuracy: 0.656, Loss: 1.065\n", "Epoch 4 Batch 23/78 - Train Accuracy: 0.683, Validation Accuracy: 0.664, Loss: 0.972\n", "Epoch 4 Batch 24/78 - Train Accuracy: 0.673, Validation Accuracy: 0.665, Loss: 1.051\n", "Epoch 4 Batch 25/78 - Train Accuracy: 0.646, Validation Accuracy: 0.658, Loss: 1.059\n", "Epoch 4 Batch 26/78 - Train Accuracy: 0.615, Validation Accuracy: 0.657, Loss: 1.107\n", "Epoch 4 Batch 27/78 - Train Accuracy: 0.631, Validation Accuracy: 0.670, Loss: 1.107\n", "Epoch 4 Batch 28/78 - Train Accuracy: 0.657, Validation Accuracy: 0.671, Loss: 1.072\n", "Epoch 4 Batch 29/78 - Train Accuracy: 0.684, Validation Accuracy: 0.666, Loss: 1.007\n", "Epoch 4 Batch 30/78 - Train Accuracy: 0.675, Validation Accuracy: 0.666, Loss: 0.999\n", "Epoch 4 Batch 31/78 - Train Accuracy: 0.672, Validation Accuracy: 0.664, Loss: 0.967\n", "Epoch 4 Batch 32/78 - Train Accuracy: 0.657, Validation Accuracy: 0.674, Loss: 1.051\n", "Epoch 4 Batch 33/78 - Train Accuracy: 0.645, Validation Accuracy: 0.673, Loss: 1.074\n", "Epoch 4 Batch 34/78 - Train Accuracy: 0.671, Validation Accuracy: 0.664, Loss: 0.995\n", "Epoch 4 Batch 35/78 - Train Accuracy: 0.653, Validation Accuracy: 0.658, Loss: 1.029\n", "Epoch 4 Batch 36/78 - Train Accuracy: 0.651, Validation Accuracy: 0.667, Loss: 1.069\n", "Epoch 4 Batch 37/78 - Train Accuracy: 0.653, Validation Accuracy: 0.674, Loss: 1.054\n", "Epoch 4 Batch 38/78 - Train Accuracy: 0.686, Validation Accuracy: 0.671, Loss: 0.999\n", "Epoch 4 Batch 39/78 - Train Accuracy: 0.665, Validation Accuracy: 0.666, Loss: 1.036\n", "Epoch 4 Batch 40/78 - Train Accuracy: 0.676, Validation Accuracy: 0.673, Loss: 0.995\n", "Epoch 4 Batch 41/78 - Train Accuracy: 0.644, Validation Accuracy: 0.671, Loss: 1.088\n", "Epoch 4 Batch 42/78 - Train Accuracy: 0.693, Validation Accuracy: 0.671, Loss: 0.974\n", "Epoch 4 Batch 43/78 - Train Accuracy: 0.632, Validation Accuracy: 0.663, Loss: 1.072\n", "Epoch 4 Batch 44/78 - Train Accuracy: 0.683, Validation Accuracy: 0.673, Loss: 0.981\n", "Epoch 4 Batch 45/78 - Train Accuracy: 0.671, Validation Accuracy: 0.677, Loss: 0.996\n", "Epoch 4 Batch 46/78 - Train Accuracy: 0.671, Validation Accuracy: 0.674, Loss: 1.052\n", "Epoch 4 Batch 47/78 - Train Accuracy: 0.672, Validation Accuracy: 0.673, Loss: 0.942\n", "Epoch 4 Batch 48/78 - Train Accuracy: 0.692, Validation Accuracy: 0.680, Loss: 0.932\n", "Epoch 4 Batch 49/78 - Train Accuracy: 0.654, Validation Accuracy: 0.672, Loss: 1.017\n", "Epoch 4 Batch 50/78 - Train Accuracy: 0.634, Validation Accuracy: 0.671, Loss: 1.116\n", "Epoch 4 Batch 51/78 - Train Accuracy: 0.702, Validation Accuracy: 0.672, Loss: 0.949\n", "Epoch 4 Batch 52/78 - Train Accuracy: 0.684, Validation Accuracy: 0.671, Loss: 0.974\n", "Epoch 4 Batch 53/78 - Train Accuracy: 0.675, Validation Accuracy: 0.673, Loss: 0.993\n", "Epoch 4 Batch 54/78 - Train Accuracy: 0.669, Validation Accuracy: 0.675, Loss: 1.038\n", "Epoch 4 Batch 55/78 - Train Accuracy: 0.662, Validation Accuracy: 0.675, Loss: 1.024\n", "Epoch 4 Batch 56/78 - Train Accuracy: 0.677, Validation Accuracy: 0.677, Loss: 1.003\n", "Epoch 4 Batch 57/78 - Train Accuracy: 0.680, Validation Accuracy: 0.677, Loss: 1.012\n", "Epoch 4 Batch 58/78 - Train Accuracy: 0.650, Validation Accuracy: 0.676, Loss: 1.047\n", "Epoch 4 Batch 59/78 - Train Accuracy: 0.640, Validation Accuracy: 0.673, Loss: 1.056\n", "Epoch 4 Batch 60/78 - Train Accuracy: 0.717, Validation Accuracy: 0.685, Loss: 0.904\n", "Epoch 4 Batch 61/78 - Train Accuracy: 0.683, Validation Accuracy: 0.682, Loss: 1.012\n", "Epoch 4 Batch 62/78 - Train Accuracy: 0.705, Validation Accuracy: 0.686, Loss: 0.964\n", "Epoch 4 Batch 63/78 - Train Accuracy: 0.706, Validation Accuracy: 0.683, Loss: 0.918\n", "Epoch 4 Batch 64/78 - Train Accuracy: 0.686, Validation Accuracy: 0.683, Loss: 0.961\n", "Epoch 4 Batch 65/78 - Train Accuracy: 0.696, Validation Accuracy: 0.682, Loss: 0.932\n", "Epoch 4 Batch 66/78 - Train Accuracy: 0.696, Validation Accuracy: 0.691, Loss: 0.918\n", "Epoch 4 Batch 67/78 - Train Accuracy: 0.733, Validation Accuracy: 0.685, Loss: 0.909\n", "Epoch 4 Batch 68/78 - Train Accuracy: 0.666, Validation Accuracy: 0.686, Loss: 0.995\n", "Epoch 4 Batch 69/78 - Train Accuracy: 0.718, Validation Accuracy: 0.679, Loss: 0.862\n", "Epoch 4 Batch 70/78 - Train Accuracy: 0.719, Validation Accuracy: 0.684, Loss: 0.931\n", "Epoch 4 Batch 71/78 - Train Accuracy: 0.719, Validation Accuracy: 0.692, Loss: 0.913\n", "Epoch 4 Batch 72/78 - Train Accuracy: 0.682, Validation Accuracy: 0.688, Loss: 0.975\n", "Epoch 4 Batch 73/78 - Train Accuracy: 0.651, Validation Accuracy: 0.682, Loss: 1.054\n", "Epoch 4 Batch 74/78 - Train Accuracy: 0.696, Validation Accuracy: 0.684, Loss: 0.937\n", "Epoch 4 Batch 75/78 - Train Accuracy: 0.712, Validation Accuracy: 0.696, Loss: 0.930\n", "Epoch 4 Batch 76/78 - Train Accuracy: 0.688, Validation Accuracy: 0.695, Loss: 0.997\n", "Epoch 5 Batch 0/78 - Train Accuracy: 0.694, Validation Accuracy: 0.693, Loss: 0.955\n", "Epoch 5 Batch 1/78 - Train Accuracy: 0.718, Validation Accuracy: 0.691, Loss: 0.911\n", "Epoch 5 Batch 2/78 - Train Accuracy: 0.729, Validation Accuracy: 0.696, Loss: 0.864\n", "Epoch 5 Batch 3/78 - Train Accuracy: 0.724, Validation Accuracy: 0.700, Loss: 0.878\n", "Epoch 5 Batch 4/78 - Train Accuracy: 0.721, Validation Accuracy: 0.694, Loss: 0.918\n", "Epoch 5 Batch 5/78 - Train Accuracy: 0.710, Validation Accuracy: 0.691, Loss: 0.899\n", "Epoch 5 Batch 6/78 - Train Accuracy: 0.722, Validation Accuracy: 0.696, Loss: 0.892\n", "Epoch 5 Batch 7/78 - Train Accuracy: 0.720, Validation Accuracy: 0.708, Loss: 0.911\n", "Epoch 5 Batch 8/78 - Train Accuracy: 0.703, Validation Accuracy: 0.709, Loss: 0.937\n", "Epoch 5 Batch 9/78 - Train Accuracy: 0.715, Validation Accuracy: 0.699, Loss: 0.870\n", "Epoch 5 Batch 10/78 - Train Accuracy: 0.710, Validation Accuracy: 0.706, Loss: 0.945\n", "Epoch 5 Batch 11/78 - Train Accuracy: 0.691, Validation Accuracy: 0.706, Loss: 0.971\n", "Epoch 5 Batch 12/78 - Train Accuracy: 0.709, Validation Accuracy: 0.711, Loss: 0.954\n", "Epoch 5 Batch 13/78 - Train Accuracy: 0.692, Validation Accuracy: 0.708, Loss: 0.925\n", "Epoch 5 Batch 14/78 - Train Accuracy: 0.754, Validation Accuracy: 0.714, Loss: 0.796\n", "Epoch 5 Batch 15/78 - Train Accuracy: 0.701, Validation Accuracy: 0.711, Loss: 0.951\n", "Epoch 5 Batch 16/78 - Train Accuracy: 0.732, Validation Accuracy: 0.712, Loss: 0.841\n", "Epoch 5 Batch 17/78 - Train Accuracy: 0.748, Validation Accuracy: 0.708, Loss: 0.803\n", "Epoch 5 Batch 18/78 - Train Accuracy: 0.713, Validation Accuracy: 0.714, Loss: 0.906\n", "Epoch 5 Batch 19/78 - Train Accuracy: 0.729, Validation Accuracy: 0.717, Loss: 0.852\n", "Epoch 5 Batch 20/78 - Train Accuracy: 0.759, Validation Accuracy: 0.714, Loss: 0.765\n", "Epoch 5 Batch 21/78 - Train Accuracy: 0.691, Validation Accuracy: 0.709, Loss: 0.930\n", "Epoch 5 Batch 22/78 - Train Accuracy: 0.708, Validation Accuracy: 0.719, Loss: 0.900\n", "Epoch 5 Batch 23/78 - Train Accuracy: 0.733, Validation Accuracy: 0.723, Loss: 0.796\n", "Epoch 5 Batch 24/78 - Train Accuracy: 0.731, Validation Accuracy: 0.722, Loss: 0.868\n", "Epoch 5 Batch 25/78 - Train Accuracy: 0.708, Validation Accuracy: 0.722, Loss: 0.891\n", "Epoch 5 Batch 26/78 - Train Accuracy: 0.700, Validation Accuracy: 0.719, Loss: 0.937\n", "Epoch 5 Batch 27/78 - Train Accuracy: 0.702, Validation Accuracy: 0.725, Loss: 0.910\n", "Epoch 5 Batch 28/78 - Train Accuracy: 0.710, Validation Accuracy: 0.725, Loss: 0.906\n", "Epoch 5 Batch 29/78 - Train Accuracy: 0.735, Validation Accuracy: 0.722, Loss: 0.819\n", "Epoch 5 Batch 30/78 - Train Accuracy: 0.739, Validation Accuracy: 0.718, Loss: 0.828\n", "Epoch 5 Batch 31/78 - Train Accuracy: 0.742, Validation Accuracy: 0.727, Loss: 0.790\n", "Epoch 5 Batch 32/78 - Train Accuracy: 0.731, Validation Accuracy: 0.722, Loss: 0.874\n", "Epoch 5 Batch 33/78 - Train Accuracy: 0.711, Validation Accuracy: 0.722, Loss: 0.905\n", "Epoch 5 Batch 34/78 - Train Accuracy: 0.734, Validation Accuracy: 0.720, Loss: 0.832\n", "Epoch 5 Batch 35/78 - Train Accuracy: 0.737, Validation Accuracy: 0.725, Loss: 0.851\n", "Epoch 5 Batch 36/78 - Train Accuracy: 0.720, Validation Accuracy: 0.731, Loss: 0.880\n", "Epoch 5 Batch 37/78 - Train Accuracy: 0.705, Validation Accuracy: 0.731, Loss: 0.877\n", "Epoch 5 Batch 38/78 - Train Accuracy: 0.742, Validation Accuracy: 0.728, Loss: 0.828\n", "Epoch 5 Batch 39/78 - Train Accuracy: 0.742, Validation Accuracy: 0.731, Loss: 0.854\n", "Epoch 5 Batch 40/78 - Train Accuracy: 0.741, Validation Accuracy: 0.740, Loss: 0.821\n", "Epoch 5 Batch 41/78 - Train Accuracy: 0.710, Validation Accuracy: 0.733, Loss: 0.912\n", "Epoch 5 Batch 42/78 - Train Accuracy: 0.748, Validation Accuracy: 0.737, Loss: 0.805\n", "Epoch 5 Batch 43/78 - Train Accuracy: 0.717, Validation Accuracy: 0.738, Loss: 0.894\n", "Epoch 5 Batch 44/78 - Train Accuracy: 0.747, Validation Accuracy: 0.733, Loss: 0.816\n", "Epoch 5 Batch 45/78 - Train Accuracy: 0.729, Validation Accuracy: 0.741, Loss: 0.840\n", "Epoch 5 Batch 46/78 - Train Accuracy: 0.722, Validation Accuracy: 0.735, Loss: 0.885\n", "Epoch 5 Batch 47/78 - Train Accuracy: 0.742, Validation Accuracy: 0.731, Loss: 0.782\n", "Epoch 5 Batch 48/78 - Train Accuracy: 0.778, Validation Accuracy: 0.728, Loss: 0.759\n", "Epoch 5 Batch 49/78 - Train Accuracy: 0.718, Validation Accuracy: 0.725, Loss: 0.852\n", "Epoch 5 Batch 50/78 - Train Accuracy: 0.693, Validation Accuracy: 0.728, Loss: 0.944\n", "Epoch 5 Batch 51/78 - Train Accuracy: 0.770, Validation Accuracy: 0.728, Loss: 0.782\n", "Epoch 5 Batch 52/78 - Train Accuracy: 0.738, Validation Accuracy: 0.738, Loss: 0.821\n", "Epoch 5 Batch 53/78 - Train Accuracy: 0.723, Validation Accuracy: 0.738, Loss: 0.818\n", "Epoch 5 Batch 54/78 - Train Accuracy: 0.722, Validation Accuracy: 0.735, Loss: 0.862\n", "Epoch 5 Batch 55/78 - Train Accuracy: 0.728, Validation Accuracy: 0.742, Loss: 0.856\n", "Epoch 5 Batch 56/78 - Train Accuracy: 0.724, Validation Accuracy: 0.741, Loss: 0.827\n", "Epoch 5 Batch 57/78 - Train Accuracy: 0.740, Validation Accuracy: 0.741, Loss: 0.845\n", "Epoch 5 Batch 58/78 - Train Accuracy: 0.721, Validation Accuracy: 0.742, Loss: 0.879\n", "Epoch 5 Batch 59/78 - Train Accuracy: 0.715, Validation Accuracy: 0.748, Loss: 0.882\n", "Epoch 5 Batch 60/78 - Train Accuracy: 0.785, Validation Accuracy: 0.744, Loss: 0.738\n", "Epoch 5 Batch 61/78 - Train Accuracy: 0.740, Validation Accuracy: 0.746, Loss: 0.849\n", "Epoch 5 Batch 62/78 - Train Accuracy: 0.772, Validation Accuracy: 0.742, Loss: 0.787\n", "Epoch 5 Batch 63/78 - Train Accuracy: 0.769, Validation Accuracy: 0.742, Loss: 0.760\n", "Epoch 5 Batch 64/78 - Train Accuracy: 0.730, Validation Accuracy: 0.742, Loss: 0.801\n", "Epoch 5 Batch 65/78 - Train Accuracy: 0.753, Validation Accuracy: 0.747, Loss: 0.768\n", "Epoch 5 Batch 66/78 - Train Accuracy: 0.758, Validation Accuracy: 0.744, Loss: 0.764\n", "Epoch 5 Batch 67/78 - Train Accuracy: 0.752, Validation Accuracy: 0.741, Loss: 0.759\n", "Epoch 5 Batch 68/78 - Train Accuracy: 0.722, Validation Accuracy: 0.742, Loss: 0.825\n", "Epoch 5 Batch 69/78 - Train Accuracy: 0.769, Validation Accuracy: 0.743, Loss: 0.703\n", "Epoch 5 Batch 70/78 - Train Accuracy: 0.758, Validation Accuracy: 0.743, Loss: 0.777\n", "Epoch 5 Batch 71/78 - Train Accuracy: 0.775, Validation Accuracy: 0.750, Loss: 0.752\n", "Epoch 5 Batch 72/78 - Train Accuracy: 0.735, Validation Accuracy: 0.749, Loss: 0.812\n", "Epoch 5 Batch 73/78 - Train Accuracy: 0.701, Validation Accuracy: 0.749, Loss: 0.892\n", "Epoch 5 Batch 74/78 - Train Accuracy: 0.737, Validation Accuracy: 0.744, Loss: 0.794\n", "Epoch 5 Batch 75/78 - Train Accuracy: 0.772, Validation Accuracy: 0.750, Loss: 0.776\n", "Epoch 5 Batch 76/78 - Train Accuracy: 0.754, Validation Accuracy: 0.753, Loss: 0.826\n", "Epoch 6 Batch 0/78 - Train Accuracy: 0.756, Validation Accuracy: 0.749, Loss: 0.803\n", "Epoch 6 Batch 1/78 - Train Accuracy: 0.762, Validation Accuracy: 0.752, Loss: 0.753\n", "Epoch 6 Batch 2/78 - Train Accuracy: 0.790, Validation Accuracy: 0.752, Loss: 0.715\n", "Epoch 6 Batch 3/78 - Train Accuracy: 0.788, Validation Accuracy: 0.751, Loss: 0.725\n", "Epoch 6 Batch 4/78 - Train Accuracy: 0.759, Validation Accuracy: 0.750, Loss: 0.772\n", "Epoch 6 Batch 5/78 - Train Accuracy: 0.766, Validation Accuracy: 0.749, Loss: 0.736\n", "Epoch 6 Batch 6/78 - Train Accuracy: 0.785, Validation Accuracy: 0.750, Loss: 0.734\n", "Epoch 6 Batch 7/78 - Train Accuracy: 0.762, Validation Accuracy: 0.751, Loss: 0.761\n", "Epoch 6 Batch 8/78 - Train Accuracy: 0.762, Validation Accuracy: 0.749, Loss: 0.774\n", "Epoch 6 Batch 9/78 - Train Accuracy: 0.768, Validation Accuracy: 0.748, Loss: 0.728\n", "Epoch 6 Batch 10/78 - Train Accuracy: 0.750, Validation Accuracy: 0.754, Loss: 0.794\n", "Epoch 6 Batch 11/78 - Train Accuracy: 0.750, Validation Accuracy: 0.752, Loss: 0.811\n", "Epoch 6 Batch 12/78 - Train Accuracy: 0.753, Validation Accuracy: 0.756, Loss: 0.804\n", "Epoch 6 Batch 13/78 - Train Accuracy: 0.731, Validation Accuracy: 0.747, Loss: 0.771\n", "Epoch 6 Batch 14/78 - Train Accuracy: 0.804, Validation Accuracy: 0.753, Loss: 0.654\n", "Epoch 6 Batch 15/78 - Train Accuracy: 0.746, Validation Accuracy: 0.757, Loss: 0.797\n", "Epoch 6 Batch 16/78 - Train Accuracy: 0.783, Validation Accuracy: 0.757, Loss: 0.710\n", "Epoch 6 Batch 17/78 - Train Accuracy: 0.770, Validation Accuracy: 0.752, Loss: 0.662\n", "Epoch 6 Batch 18/78 - Train Accuracy: 0.763, Validation Accuracy: 0.746, Loss: 0.754\n", "Epoch 6 Batch 19/78 - Train Accuracy: 0.795, Validation Accuracy: 0.757, Loss: 0.709\n", "Epoch 6 Batch 20/78 - Train Accuracy: 0.816, Validation Accuracy: 0.762, Loss: 0.627\n", "Epoch 6 Batch 21/78 - Train Accuracy: 0.746, Validation Accuracy: 0.763, Loss: 0.785\n", "Epoch 6 Batch 22/78 - Train Accuracy: 0.756, Validation Accuracy: 0.765, Loss: 0.771\n", "Epoch 6 Batch 23/78 - Train Accuracy: 0.788, Validation Accuracy: 0.762, Loss: 0.667\n", "Epoch 6 Batch 24/78 - Train Accuracy: 0.779, Validation Accuracy: 0.759, Loss: 0.729\n", "Epoch 6 Batch 25/78 - Train Accuracy: 0.754, Validation Accuracy: 0.757, Loss: 0.746\n", "Epoch 6 Batch 26/78 - Train Accuracy: 0.766, Validation Accuracy: 0.756, Loss: 0.783\n", "Epoch 6 Batch 27/78 - Train Accuracy: 0.746, Validation Accuracy: 0.752, Loss: 0.760\n", "Epoch 6 Batch 28/78 - Train Accuracy: 0.758, Validation Accuracy: 0.752, Loss: 0.768\n", "Epoch 6 Batch 29/78 - Train Accuracy: 0.771, Validation Accuracy: 0.758, Loss: 0.687\n", "Epoch 6 Batch 30/78 - Train Accuracy: 0.780, Validation Accuracy: 0.760, Loss: 0.700\n", "Epoch 6 Batch 31/78 - Train Accuracy: 0.799, Validation Accuracy: 0.759, Loss: 0.655\n", "Epoch 6 Batch 32/78 - Train Accuracy: 0.766, Validation Accuracy: 0.759, Loss: 0.734\n", "Epoch 6 Batch 33/78 - Train Accuracy: 0.761, Validation Accuracy: 0.760, Loss: 0.776\n", "Epoch 6 Batch 34/78 - Train Accuracy: 0.780, Validation Accuracy: 0.760, Loss: 0.703\n", "Epoch 6 Batch 35/78 - Train Accuracy: 0.776, Validation Accuracy: 0.760, Loss: 0.708\n", "Epoch 6 Batch 36/78 - Train Accuracy: 0.771, Validation Accuracy: 0.763, Loss: 0.735\n", "Epoch 6 Batch 37/78 - Train Accuracy: 0.770, Validation Accuracy: 0.763, Loss: 0.745\n", "Epoch 6 Batch 38/78 - Train Accuracy: 0.783, Validation Accuracy: 0.767, Loss: 0.690\n", "Epoch 6 Batch 39/78 - Train Accuracy: 0.776, Validation Accuracy: 0.768, Loss: 0.720\n", "Epoch 6 Batch 40/78 - Train Accuracy: 0.799, Validation Accuracy: 0.771, Loss: 0.683\n", "Epoch 6 Batch 41/78 - Train Accuracy: 0.741, Validation Accuracy: 0.768, Loss: 0.776\n", "Epoch 6 Batch 42/78 - Train Accuracy: 0.786, Validation Accuracy: 0.759, Loss: 0.677\n", "Epoch 6 Batch 43/78 - Train Accuracy: 0.763, Validation Accuracy: 0.762, Loss: 0.759\n", "Epoch 6 Batch 44/78 - Train Accuracy: 0.785, Validation Accuracy: 0.763, Loss: 0.691\n", "Epoch 6 Batch 45/78 - Train Accuracy: 0.770, Validation Accuracy: 0.767, Loss: 0.716\n", "Epoch 6 Batch 46/78 - Train Accuracy: 0.754, Validation Accuracy: 0.769, Loss: 0.763\n", "Epoch 6 Batch 47/78 - Train Accuracy: 0.781, Validation Accuracy: 0.760, Loss: 0.669\n", "Epoch 6 Batch 48/78 - Train Accuracy: 0.831, Validation Accuracy: 0.760, Loss: 0.626\n", "Epoch 6 Batch 49/78 - Train Accuracy: 0.753, Validation Accuracy: 0.766, Loss: 0.727\n", "Epoch 6 Batch 50/78 - Train Accuracy: 0.739, Validation Accuracy: 0.766, Loss: 0.806\n", "Epoch 6 Batch 51/78 - Train Accuracy: 0.796, Validation Accuracy: 0.766, Loss: 0.660\n", "Epoch 6 Batch 52/78 - Train Accuracy: 0.782, Validation Accuracy: 0.769, Loss: 0.700\n", "Epoch 6 Batch 53/78 - Train Accuracy: 0.788, Validation Accuracy: 0.765, Loss: 0.687\n", "Epoch 6 Batch 54/78 - Train Accuracy: 0.777, Validation Accuracy: 0.766, Loss: 0.733\n", "Epoch 6 Batch 55/78 - Train Accuracy: 0.770, Validation Accuracy: 0.769, Loss: 0.732\n", "Epoch 6 Batch 56/78 - Train Accuracy: 0.762, Validation Accuracy: 0.770, Loss: 0.698\n", "Epoch 6 Batch 57/78 - Train Accuracy: 0.788, Validation Accuracy: 0.775, Loss: 0.720\n", "Epoch 6 Batch 58/78 - Train Accuracy: 0.752, Validation Accuracy: 0.778, Loss: 0.765\n", "Epoch 6 Batch 59/78 - Train Accuracy: 0.757, Validation Accuracy: 0.771, Loss: 0.759\n", "Epoch 6 Batch 60/78 - Train Accuracy: 0.805, Validation Accuracy: 0.771, Loss: 0.621\n", "Epoch 6 Batch 61/78 - Train Accuracy: 0.782, Validation Accuracy: 0.770, Loss: 0.728\n", "Epoch 6 Batch 62/78 - Train Accuracy: 0.800, Validation Accuracy: 0.769, Loss: 0.659\n", "Epoch 6 Batch 63/78 - Train Accuracy: 0.804, Validation Accuracy: 0.775, Loss: 0.644\n", "Epoch 6 Batch 64/78 - Train Accuracy: 0.775, Validation Accuracy: 0.775, Loss: 0.681\n", "Epoch 6 Batch 65/78 - Train Accuracy: 0.794, Validation Accuracy: 0.776, Loss: 0.652\n", "Epoch 6 Batch 66/78 - Train Accuracy: 0.798, Validation Accuracy: 0.785, Loss: 0.651\n", "Epoch 6 Batch 67/78 - Train Accuracy: 0.789, Validation Accuracy: 0.781, Loss: 0.652\n", "Epoch 6 Batch 68/78 - Train Accuracy: 0.769, Validation Accuracy: 0.781, Loss: 0.704\n", "Epoch 6 Batch 69/78 - Train Accuracy: 0.811, Validation Accuracy: 0.778, Loss: 0.595\n", "Epoch 6 Batch 70/78 - Train Accuracy: 0.783, Validation Accuracy: 0.780, Loss: 0.660\n", "Epoch 6 Batch 71/78 - Train Accuracy: 0.814, Validation Accuracy: 0.781, Loss: 0.637\n", "Epoch 6 Batch 72/78 - Train Accuracy: 0.763, Validation Accuracy: 0.777, Loss: 0.700\n", "Epoch 6 Batch 73/78 - Train Accuracy: 0.757, Validation Accuracy: 0.779, Loss: 0.767\n", "Epoch 6 Batch 74/78 - Train Accuracy: 0.795, Validation Accuracy: 0.777, Loss: 0.674\n", "Epoch 6 Batch 75/78 - Train Accuracy: 0.794, Validation Accuracy: 0.785, Loss: 0.659\n", "Epoch 6 Batch 76/78 - Train Accuracy: 0.794, Validation Accuracy: 0.779, Loss: 0.709\n", "Epoch 7 Batch 0/78 - Train Accuracy: 0.791, Validation Accuracy: 0.782, Loss: 0.695\n", "Epoch 7 Batch 1/78 - Train Accuracy: 0.808, Validation Accuracy: 0.779, Loss: 0.640\n", "Epoch 7 Batch 2/78 - Train Accuracy: 0.816, Validation Accuracy: 0.778, Loss: 0.606\n", "Epoch 7 Batch 3/78 - Train Accuracy: 0.797, Validation Accuracy: 0.778, Loss: 0.627\n", "Epoch 7 Batch 4/78 - Train Accuracy: 0.786, Validation Accuracy: 0.779, Loss: 0.652\n", "Epoch 7 Batch 5/78 - Train Accuracy: 0.815, Validation Accuracy: 0.782, Loss: 0.624\n", "Epoch 7 Batch 6/78 - Train Accuracy: 0.807, Validation Accuracy: 0.780, Loss: 0.620\n", "Epoch 7 Batch 7/78 - Train Accuracy: 0.805, Validation Accuracy: 0.781, Loss: 0.645\n", "Epoch 7 Batch 8/78 - Train Accuracy: 0.798, Validation Accuracy: 0.785, Loss: 0.665\n", "Epoch 7 Batch 9/78 - Train Accuracy: 0.809, Validation Accuracy: 0.786, Loss: 0.634\n", "Epoch 7 Batch 10/78 - Train Accuracy: 0.780, Validation Accuracy: 0.778, Loss: 0.675\n", "Epoch 7 Batch 11/78 - Train Accuracy: 0.781, Validation Accuracy: 0.778, Loss: 0.708\n", "Epoch 7 Batch 12/78 - Train Accuracy: 0.783, Validation Accuracy: 0.777, Loss: 0.681\n", "Epoch 7 Batch 13/78 - Train Accuracy: 0.788, Validation Accuracy: 0.786, Loss: 0.663\n", "Epoch 7 Batch 14/78 - Train Accuracy: 0.829, Validation Accuracy: 0.783, Loss: 0.551\n", "Epoch 7 Batch 15/78 - Train Accuracy: 0.791, Validation Accuracy: 0.790, Loss: 0.679\n", "Epoch 7 Batch 16/78 - Train Accuracy: 0.806, Validation Accuracy: 0.786, Loss: 0.603\n", "Epoch 7 Batch 17/78 - Train Accuracy: 0.825, Validation Accuracy: 0.787, Loss: 0.564\n", "Epoch 7 Batch 18/78 - Train Accuracy: 0.806, Validation Accuracy: 0.783, Loss: 0.639\n", "Epoch 7 Batch 19/78 - Train Accuracy: 0.819, Validation Accuracy: 0.789, Loss: 0.605\n", "Epoch 7 Batch 20/78 - Train Accuracy: 0.843, Validation Accuracy: 0.787, Loss: 0.537\n", "Epoch 7 Batch 21/78 - Train Accuracy: 0.786, Validation Accuracy: 0.790, Loss: 0.683\n", "Epoch 7 Batch 22/78 - Train Accuracy: 0.779, Validation Accuracy: 0.790, Loss: 0.674\n", "Epoch 7 Batch 23/78 - Train Accuracy: 0.823, Validation Accuracy: 0.790, Loss: 0.564\n", "Epoch 7 Batch 24/78 - Train Accuracy: 0.800, Validation Accuracy: 0.789, Loss: 0.627\n", "Epoch 7 Batch 25/78 - Train Accuracy: 0.802, Validation Accuracy: 0.786, Loss: 0.649\n", "Epoch 7 Batch 26/78 - Train Accuracy: 0.792, Validation Accuracy: 0.785, Loss: 0.680\n", "Epoch 7 Batch 27/78 - Train Accuracy: 0.785, Validation Accuracy: 0.783, Loss: 0.649\n", "Epoch 7 Batch 28/78 - Train Accuracy: 0.781, Validation Accuracy: 0.786, Loss: 0.665\n", "Epoch 7 Batch 29/78 - Train Accuracy: 0.806, Validation Accuracy: 0.783, Loss: 0.586\n", "Epoch 7 Batch 30/78 - Train Accuracy: 0.808, Validation Accuracy: 0.783, Loss: 0.606\n", "Epoch 7 Batch 31/78 - Train Accuracy: 0.831, Validation Accuracy: 0.787, Loss: 0.552\n", "Epoch 7 Batch 32/78 - Train Accuracy: 0.802, Validation Accuracy: 0.786, Loss: 0.628\n", "Epoch 7 Batch 33/78 - Train Accuracy: 0.783, Validation Accuracy: 0.791, Loss: 0.675\n", "Epoch 7 Batch 34/78 - Train Accuracy: 0.821, Validation Accuracy: 0.787, Loss: 0.600\n", "Epoch 7 Batch 35/78 - Train Accuracy: 0.797, Validation Accuracy: 0.790, Loss: 0.607\n", "Epoch 7 Batch 36/78 - Train Accuracy: 0.790, Validation Accuracy: 0.781, Loss: 0.625\n", "Epoch 7 Batch 37/78 - Train Accuracy: 0.792, Validation Accuracy: 0.788, Loss: 0.646\n", "Epoch 7 Batch 38/78 - Train Accuracy: 0.806, Validation Accuracy: 0.789, Loss: 0.590\n", "Epoch 7 Batch 39/78 - Train Accuracy: 0.794, Validation Accuracy: 0.794, Loss: 0.620\n", "Epoch 7 Batch 40/78 - Train Accuracy: 0.825, Validation Accuracy: 0.792, Loss: 0.585\n", "Epoch 7 Batch 41/78 - Train Accuracy: 0.776, Validation Accuracy: 0.790, Loss: 0.670\n", "Epoch 7 Batch 42/78 - Train Accuracy: 0.827, Validation Accuracy: 0.796, Loss: 0.583\n", "Epoch 7 Batch 43/78 - Train Accuracy: 0.785, Validation Accuracy: 0.791, Loss: 0.656\n", "Epoch 7 Batch 44/78 - Train Accuracy: 0.809, Validation Accuracy: 0.797, Loss: 0.597\n", "Epoch 7 Batch 45/78 - Train Accuracy: 0.809, Validation Accuracy: 0.792, Loss: 0.611\n", "Epoch 7 Batch 46/78 - Train Accuracy: 0.795, Validation Accuracy: 0.798, Loss: 0.662\n", "Epoch 7 Batch 47/78 - Train Accuracy: 0.811, Validation Accuracy: 0.796, Loss: 0.579\n", "Epoch 7 Batch 48/78 - Train Accuracy: 0.849, Validation Accuracy: 0.797, Loss: 0.526\n", "Epoch 7 Batch 49/78 - Train Accuracy: 0.786, Validation Accuracy: 0.795, Loss: 0.627\n", "Epoch 7 Batch 50/78 - Train Accuracy: 0.788, Validation Accuracy: 0.789, Loss: 0.700\n", "Epoch 7 Batch 51/78 - Train Accuracy: 0.830, Validation Accuracy: 0.789, Loss: 0.568\n", "Epoch 7 Batch 52/78 - Train Accuracy: 0.821, Validation Accuracy: 0.786, Loss: 0.601\n", "Epoch 7 Batch 53/78 - Train Accuracy: 0.825, Validation Accuracy: 0.786, Loss: 0.591\n", "Epoch 7 Batch 54/78 - Train Accuracy: 0.811, Validation Accuracy: 0.788, Loss: 0.630\n", "Epoch 7 Batch 55/78 - Train Accuracy: 0.801, Validation Accuracy: 0.785, Loss: 0.637\n", "Epoch 7 Batch 56/78 - Train Accuracy: 0.812, Validation Accuracy: 0.789, Loss: 0.601\n", "Epoch 7 Batch 57/78 - Train Accuracy: 0.815, Validation Accuracy: 0.795, Loss: 0.621\n", "Epoch 7 Batch 58/78 - Train Accuracy: 0.787, Validation Accuracy: 0.801, Loss: 0.669\n", "Epoch 7 Batch 59/78 - Train Accuracy: 0.786, Validation Accuracy: 0.806, Loss: 0.661\n", "Epoch 7 Batch 60/78 - Train Accuracy: 0.834, Validation Accuracy: 0.808, Loss: 0.535\n", "Epoch 7 Batch 61/78 - Train Accuracy: 0.806, Validation Accuracy: 0.809, Loss: 0.630\n", "Epoch 7 Batch 62/78 - Train Accuracy: 0.827, Validation Accuracy: 0.807, Loss: 0.565\n", "Epoch 7 Batch 63/78 - Train Accuracy: 0.833, Validation Accuracy: 0.810, Loss: 0.554\n", "Epoch 7 Batch 64/78 - Train Accuracy: 0.801, Validation Accuracy: 0.804, Loss: 0.593\n", "Epoch 7 Batch 65/78 - Train Accuracy: 0.821, Validation Accuracy: 0.799, Loss: 0.559\n", "Epoch 7 Batch 66/78 - Train Accuracy: 0.826, Validation Accuracy: 0.798, Loss: 0.554\n", "Epoch 7 Batch 67/78 - Train Accuracy: 0.815, Validation Accuracy: 0.794, Loss: 0.562\n", "Epoch 7 Batch 68/78 - Train Accuracy: 0.799, Validation Accuracy: 0.796, Loss: 0.611\n", "Epoch 7 Batch 69/78 - Train Accuracy: 0.845, Validation Accuracy: 0.796, Loss: 0.517\n", "Epoch 7 Batch 70/78 - Train Accuracy: 0.808, Validation Accuracy: 0.804, Loss: 0.574\n", "Epoch 7 Batch 71/78 - Train Accuracy: 0.828, Validation Accuracy: 0.807, Loss: 0.550\n", "Epoch 7 Batch 72/78 - Train Accuracy: 0.798, Validation Accuracy: 0.805, Loss: 0.612\n", "Epoch 7 Batch 73/78 - Train Accuracy: 0.796, Validation Accuracy: 0.812, Loss: 0.668\n", "Epoch 7 Batch 74/78 - Train Accuracy: 0.821, Validation Accuracy: 0.816, Loss: 0.585\n", "Epoch 7 Batch 75/78 - Train Accuracy: 0.820, Validation Accuracy: 0.810, Loss: 0.568\n", "Epoch 7 Batch 76/78 - Train Accuracy: 0.804, Validation Accuracy: 0.808, Loss: 0.624\n", "Epoch 8 Batch 0/78 - Train Accuracy: 0.808, Validation Accuracy: 0.805, Loss: 0.598\n", "Epoch 8 Batch 1/78 - Train Accuracy: 0.842, Validation Accuracy: 0.804, Loss: 0.557\n", "Epoch 8 Batch 2/78 - Train Accuracy: 0.842, Validation Accuracy: 0.801, Loss: 0.525\n", "Epoch 8 Batch 3/78 - Train Accuracy: 0.821, Validation Accuracy: 0.807, Loss: 0.542\n", "Epoch 8 Batch 4/78 - Train Accuracy: 0.823, Validation Accuracy: 0.808, Loss: 0.566\n", "Epoch 8 Batch 5/78 - Train Accuracy: 0.837, Validation Accuracy: 0.810, Loss: 0.535\n", "Epoch 8 Batch 6/78 - Train Accuracy: 0.829, Validation Accuracy: 0.815, Loss: 0.537\n", "Epoch 8 Batch 7/78 - Train Accuracy: 0.829, Validation Accuracy: 0.819, Loss: 0.555\n", "Epoch 8 Batch 8/78 - Train Accuracy: 0.817, Validation Accuracy: 0.811, Loss: 0.585\n", "Epoch 8 Batch 9/78 - Train Accuracy: 0.828, Validation Accuracy: 0.810, Loss: 0.551\n", "Epoch 8 Batch 10/78 - Train Accuracy: 0.818, Validation Accuracy: 0.814, Loss: 0.589\n", "Epoch 8 Batch 11/78 - Train Accuracy: 0.809, Validation Accuracy: 0.805, Loss: 0.617\n", "Epoch 8 Batch 12/78 - Train Accuracy: 0.814, Validation Accuracy: 0.805, Loss: 0.587\n", "Epoch 8 Batch 13/78 - Train Accuracy: 0.811, Validation Accuracy: 0.808, Loss: 0.569\n", "Epoch 8 Batch 14/78 - Train Accuracy: 0.855, Validation Accuracy: 0.823, Loss: 0.475\n", "Epoch 8 Batch 15/78 - Train Accuracy: 0.825, Validation Accuracy: 0.829, Loss: 0.586\n", "Epoch 8 Batch 16/78 - Train Accuracy: 0.831, Validation Accuracy: 0.831, Loss: 0.526\n", "Epoch 8 Batch 17/78 - Train Accuracy: 0.847, Validation Accuracy: 0.825, Loss: 0.488\n", "Epoch 8 Batch 18/78 - Train Accuracy: 0.840, Validation Accuracy: 0.816, Loss: 0.555\n", "Epoch 8 Batch 19/78 - Train Accuracy: 0.840, Validation Accuracy: 0.816, Loss: 0.522\n", "Epoch 8 Batch 20/78 - Train Accuracy: 0.868, Validation Accuracy: 0.814, Loss: 0.464\n", "Epoch 8 Batch 21/78 - Train Accuracy: 0.815, Validation Accuracy: 0.816, Loss: 0.594\n", "Epoch 8 Batch 22/78 - Train Accuracy: 0.795, Validation Accuracy: 0.818, Loss: 0.598\n", "Epoch 8 Batch 23/78 - Train Accuracy: 0.850, Validation Accuracy: 0.817, Loss: 0.489\n", "Epoch 8 Batch 24/78 - Train Accuracy: 0.814, Validation Accuracy: 0.810, Loss: 0.541\n", "Epoch 8 Batch 25/78 - Train Accuracy: 0.814, Validation Accuracy: 0.806, Loss: 0.571\n", "Epoch 8 Batch 26/78 - Train Accuracy: 0.815, Validation Accuracy: 0.798, Loss: 0.591\n", "Epoch 8 Batch 27/78 - Train Accuracy: 0.826, Validation Accuracy: 0.798, Loss: 0.560\n", "Epoch 8 Batch 28/78 - Train Accuracy: 0.806, Validation Accuracy: 0.802, Loss: 0.578\n", "Epoch 8 Batch 29/78 - Train Accuracy: 0.842, Validation Accuracy: 0.800, Loss: 0.511\n", "Epoch 8 Batch 30/78 - Train Accuracy: 0.829, Validation Accuracy: 0.809, Loss: 0.528\n", "Epoch 8 Batch 31/78 - Train Accuracy: 0.857, Validation Accuracy: 0.810, Loss: 0.476\n", "Epoch 8 Batch 32/78 - Train Accuracy: 0.825, Validation Accuracy: 0.815, Loss: 0.547\n", "Epoch 8 Batch 33/78 - Train Accuracy: 0.804, Validation Accuracy: 0.811, Loss: 0.586\n", "Epoch 8 Batch 34/78 - Train Accuracy: 0.845, Validation Accuracy: 0.805, Loss: 0.516\n", "Epoch 8 Batch 35/78 - Train Accuracy: 0.836, Validation Accuracy: 0.808, Loss: 0.527\n", "Epoch 8 Batch 36/78 - Train Accuracy: 0.827, Validation Accuracy: 0.817, Loss: 0.544\n", "Epoch 8 Batch 37/78 - Train Accuracy: 0.820, Validation Accuracy: 0.812, Loss: 0.565\n", "Epoch 8 Batch 38/78 - Train Accuracy: 0.839, Validation Accuracy: 0.816, Loss: 0.511\n", "Epoch 8 Batch 39/78 - Train Accuracy: 0.831, Validation Accuracy: 0.815, Loss: 0.539\n", "Epoch 8 Batch 40/78 - Train Accuracy: 0.848, Validation Accuracy: 0.817, Loss: 0.511\n", "Epoch 8 Batch 41/78 - Train Accuracy: 0.796, Validation Accuracy: 0.819, Loss: 0.580\n", "Epoch 8 Batch 42/78 - Train Accuracy: 0.852, Validation Accuracy: 0.826, Loss: 0.505\n", "Epoch 8 Batch 43/78 - Train Accuracy: 0.810, Validation Accuracy: 0.828, Loss: 0.571\n", "Epoch 8 Batch 44/78 - Train Accuracy: 0.829, Validation Accuracy: 0.824, Loss: 0.524\n", "Epoch 8 Batch 45/78 - Train Accuracy: 0.837, Validation Accuracy: 0.826, Loss: 0.524\n", "Epoch 8 Batch 46/78 - Train Accuracy: 0.809, Validation Accuracy: 0.820, Loss: 0.584\n", "Epoch 8 Batch 47/78 - Train Accuracy: 0.827, Validation Accuracy: 0.820, Loss: 0.507\n", "Epoch 8 Batch 48/78 - Train Accuracy: 0.871, Validation Accuracy: 0.819, Loss: 0.448\n", "Epoch 8 Batch 49/78 - Train Accuracy: 0.820, Validation Accuracy: 0.810, Loss: 0.552\n", "Epoch 8 Batch 50/78 - Train Accuracy: 0.802, Validation Accuracy: 0.808, Loss: 0.616\n", "Epoch 8 Batch 51/78 - Train Accuracy: 0.866, Validation Accuracy: 0.820, Loss: 0.494\n", "Epoch 8 Batch 52/78 - Train Accuracy: 0.838, Validation Accuracy: 0.821, Loss: 0.526\n", "Epoch 8 Batch 53/78 - Train Accuracy: 0.845, Validation Accuracy: 0.825, Loss: 0.515\n", "Epoch 8 Batch 54/78 - Train Accuracy: 0.836, Validation Accuracy: 0.820, Loss: 0.547\n", "Epoch 8 Batch 55/78 - Train Accuracy: 0.819, Validation Accuracy: 0.824, Loss: 0.561\n", "Epoch 8 Batch 56/78 - Train Accuracy: 0.831, Validation Accuracy: 0.827, Loss: 0.527\n", "Epoch 8 Batch 57/78 - Train Accuracy: 0.838, Validation Accuracy: 0.829, Loss: 0.542\n", "Epoch 8 Batch 58/78 - Train Accuracy: 0.809, Validation Accuracy: 0.825, Loss: 0.591\n", "Epoch 8 Batch 59/78 - Train Accuracy: 0.818, Validation Accuracy: 0.826, Loss: 0.578\n", "Epoch 8 Batch 60/78 - Train Accuracy: 0.864, Validation Accuracy: 0.826, Loss: 0.467\n", "Epoch 8 Batch 61/78 - Train Accuracy: 0.828, Validation Accuracy: 0.826, Loss: 0.546\n", "Epoch 8 Batch 62/78 - Train Accuracy: 0.847, Validation Accuracy: 0.826, Loss: 0.489\n", "Epoch 8 Batch 63/78 - Train Accuracy: 0.855, Validation Accuracy: 0.834, Loss: 0.482\n", "Epoch 8 Batch 64/78 - Train Accuracy: 0.829, Validation Accuracy: 0.826, Loss: 0.519\n", "Epoch 8 Batch 65/78 - Train Accuracy: 0.846, Validation Accuracy: 0.823, Loss: 0.482\n", "Epoch 8 Batch 66/78 - Train Accuracy: 0.866, Validation Accuracy: 0.821, Loss: 0.474\n", "Epoch 8 Batch 67/78 - Train Accuracy: 0.833, Validation Accuracy: 0.821, Loss: 0.489\n", "Epoch 8 Batch 68/78 - Train Accuracy: 0.820, Validation Accuracy: 0.812, Loss: 0.531\n", "Epoch 8 Batch 69/78 - Train Accuracy: 0.859, Validation Accuracy: 0.825, Loss: 0.451\n", "Epoch 8 Batch 70/78 - Train Accuracy: 0.829, Validation Accuracy: 0.833, Loss: 0.502\n", "Epoch 8 Batch 71/78 - Train Accuracy: 0.837, Validation Accuracy: 0.835, Loss: 0.478\n", "Epoch 8 Batch 72/78 - Train Accuracy: 0.825, Validation Accuracy: 0.835, Loss: 0.536\n", "Epoch 8 Batch 73/78 - Train Accuracy: 0.820, Validation Accuracy: 0.833, Loss: 0.588\n", "Epoch 8 Batch 74/78 - Train Accuracy: 0.846, Validation Accuracy: 0.830, Loss: 0.509\n", "Epoch 8 Batch 75/78 - Train Accuracy: 0.842, Validation Accuracy: 0.830, Loss: 0.489\n", "Epoch 8 Batch 76/78 - Train Accuracy: 0.827, Validation Accuracy: 0.837, Loss: 0.550\n", "Epoch 9 Batch 0/78 - Train Accuracy: 0.830, Validation Accuracy: 0.834, Loss: 0.520\n", "Epoch 9 Batch 1/78 - Train Accuracy: 0.850, Validation Accuracy: 0.838, Loss: 0.483\n", "Epoch 9 Batch 2/78 - Train Accuracy: 0.871, Validation Accuracy: 0.834, Loss: 0.458\n", "Epoch 9 Batch 3/78 - Train Accuracy: 0.849, Validation Accuracy: 0.835, Loss: 0.464\n", "Epoch 9 Batch 4/78 - Train Accuracy: 0.834, Validation Accuracy: 0.830, Loss: 0.498\n", "Epoch 9 Batch 5/78 - Train Accuracy: 0.852, Validation Accuracy: 0.829, Loss: 0.462\n", "Epoch 9 Batch 6/78 - Train Accuracy: 0.855, Validation Accuracy: 0.828, Loss: 0.467\n", "Epoch 9 Batch 7/78 - Train Accuracy: 0.846, Validation Accuracy: 0.826, Loss: 0.482\n", "Epoch 9 Batch 8/78 - Train Accuracy: 0.840, Validation Accuracy: 0.829, Loss: 0.511\n", "Epoch 9 Batch 9/78 - Train Accuracy: 0.847, Validation Accuracy: 0.839, Loss: 0.481\n", "Epoch 9 Batch 10/78 - Train Accuracy: 0.843, Validation Accuracy: 0.845, Loss: 0.516\n", "Epoch 9 Batch 11/78 - Train Accuracy: 0.825, Validation Accuracy: 0.842, Loss: 0.545\n", "Epoch 9 Batch 12/78 - Train Accuracy: 0.833, Validation Accuracy: 0.844, Loss: 0.508\n", "Epoch 9 Batch 13/78 - Train Accuracy: 0.837, Validation Accuracy: 0.846, Loss: 0.491\n", "Epoch 9 Batch 14/78 - Train Accuracy: 0.877, Validation Accuracy: 0.848, Loss: 0.412\n", "Epoch 9 Batch 15/78 - Train Accuracy: 0.847, Validation Accuracy: 0.849, Loss: 0.514\n", "Epoch 9 Batch 16/78 - Train Accuracy: 0.858, Validation Accuracy: 0.846, Loss: 0.460\n", "Epoch 9 Batch 17/78 - Train Accuracy: 0.874, Validation Accuracy: 0.843, Loss: 0.425\n", "Epoch 9 Batch 18/78 - Train Accuracy: 0.844, Validation Accuracy: 0.844, Loss: 0.484\n", "Epoch 9 Batch 19/78 - Train Accuracy: 0.852, Validation Accuracy: 0.844, Loss: 0.457\n", "Epoch 9 Batch 20/78 - Train Accuracy: 0.883, Validation Accuracy: 0.844, Loss: 0.400\n", "Epoch 9 Batch 21/78 - Train Accuracy: 0.834, Validation Accuracy: 0.843, Loss: 0.519\n", "Epoch 9 Batch 22/78 - Train Accuracy: 0.817, Validation Accuracy: 0.843, Loss: 0.530\n", "Epoch 9 Batch 23/78 - Train Accuracy: 0.869, Validation Accuracy: 0.840, Loss: 0.421\n", "Epoch 9 Batch 24/78 - Train Accuracy: 0.847, Validation Accuracy: 0.847, Loss: 0.466\n", "Epoch 9 Batch 25/78 - Train Accuracy: 0.827, Validation Accuracy: 0.844, Loss: 0.501\n", "Epoch 9 Batch 26/78 - Train Accuracy: 0.839, Validation Accuracy: 0.843, Loss: 0.522\n", "Epoch 9 Batch 27/78 - Train Accuracy: 0.845, Validation Accuracy: 0.838, Loss: 0.485\n", "Epoch 9 Batch 28/78 - Train Accuracy: 0.840, Validation Accuracy: 0.836, Loss: 0.507\n", "Epoch 9 Batch 29/78 - Train Accuracy: 0.862, Validation Accuracy: 0.838, Loss: 0.446\n", "Epoch 9 Batch 30/78 - Train Accuracy: 0.856, Validation Accuracy: 0.834, Loss: 0.462\n", "Epoch 9 Batch 31/78 - Train Accuracy: 0.874, Validation Accuracy: 0.835, Loss: 0.414\n", "Epoch 9 Batch 32/78 - Train Accuracy: 0.849, Validation Accuracy: 0.844, Loss: 0.480\n", "Epoch 9 Batch 33/78 - Train Accuracy: 0.826, Validation Accuracy: 0.844, Loss: 0.510\n", "Epoch 9 Batch 34/78 - Train Accuracy: 0.873, Validation Accuracy: 0.847, Loss: 0.452\n", "Epoch 9 Batch 35/78 - Train Accuracy: 0.852, Validation Accuracy: 0.843, Loss: 0.458\n", "Epoch 9 Batch 36/78 - Train Accuracy: 0.846, Validation Accuracy: 0.839, Loss: 0.471\n", "Epoch 9 Batch 37/78 - Train Accuracy: 0.835, Validation Accuracy: 0.845, Loss: 0.491\n", "Epoch 9 Batch 38/78 - Train Accuracy: 0.855, Validation Accuracy: 0.847, Loss: 0.447\n", "Epoch 9 Batch 39/78 - Train Accuracy: 0.849, Validation Accuracy: 0.842, Loss: 0.471\n", "Epoch 9 Batch 40/78 - Train Accuracy: 0.863, Validation Accuracy: 0.845, Loss: 0.447\n", "Epoch 9 Batch 41/78 - Train Accuracy: 0.815, Validation Accuracy: 0.843, Loss: 0.508\n", "Epoch 9 Batch 42/78 - Train Accuracy: 0.868, Validation Accuracy: 0.843, Loss: 0.437\n", "Epoch 9 Batch 43/78 - Train Accuracy: 0.844, Validation Accuracy: 0.843, Loss: 0.499\n", "Epoch 9 Batch 44/78 - Train Accuracy: 0.857, Validation Accuracy: 0.838, Loss: 0.460\n", "Epoch 9 Batch 45/78 - Train Accuracy: 0.854, Validation Accuracy: 0.838, Loss: 0.455\n", "Epoch 9 Batch 46/78 - Train Accuracy: 0.829, Validation Accuracy: 0.843, Loss: 0.515\n", "Epoch 9 Batch 47/78 - Train Accuracy: 0.846, Validation Accuracy: 0.847, Loss: 0.446\n", "Epoch 9 Batch 48/78 - Train Accuracy: 0.888, Validation Accuracy: 0.848, Loss: 0.386\n", "Epoch 9 Batch 49/78 - Train Accuracy: 0.833, Validation Accuracy: 0.846, Loss: 0.488\n", "Epoch 9 Batch 50/78 - Train Accuracy: 0.811, Validation Accuracy: 0.844, Loss: 0.541\n", "Epoch 9 Batch 51/78 - Train Accuracy: 0.881, Validation Accuracy: 0.846, Loss: 0.430\n", "Epoch 9 Batch 52/78 - Train Accuracy: 0.846, Validation Accuracy: 0.847, Loss: 0.464\n", "Epoch 9 Batch 53/78 - Train Accuracy: 0.872, Validation Accuracy: 0.850, Loss: 0.447\n", "Epoch 9 Batch 54/78 - Train Accuracy: 0.846, Validation Accuracy: 0.845, Loss: 0.478\n", "Epoch 9 Batch 55/78 - Train Accuracy: 0.844, Validation Accuracy: 0.850, Loss: 0.498\n", "Epoch 9 Batch 56/78 - Train Accuracy: 0.852, Validation Accuracy: 0.852, Loss: 0.465\n", "Epoch 9 Batch 57/78 - Train Accuracy: 0.863, Validation Accuracy: 0.854, Loss: 0.476\n", "Epoch 9 Batch 58/78 - Train Accuracy: 0.812, Validation Accuracy: 0.854, Loss: 0.526\n", "Epoch 9 Batch 59/78 - Train Accuracy: 0.838, Validation Accuracy: 0.855, Loss: 0.510\n", "Epoch 9 Batch 60/78 - Train Accuracy: 0.876, Validation Accuracy: 0.853, Loss: 0.410\n", "Epoch 9 Batch 61/78 - Train Accuracy: 0.843, Validation Accuracy: 0.855, Loss: 0.475\n", "Epoch 9 Batch 62/78 - Train Accuracy: 0.860, Validation Accuracy: 0.854, Loss: 0.429\n", "Epoch 9 Batch 63/78 - Train Accuracy: 0.865, Validation Accuracy: 0.850, Loss: 0.423\n", "Epoch 9 Batch 64/78 - Train Accuracy: 0.849, Validation Accuracy: 0.848, Loss: 0.457\n", "Epoch 9 Batch 65/78 - Train Accuracy: 0.878, Validation Accuracy: 0.853, Loss: 0.419\n", "Epoch 9 Batch 66/78 - Train Accuracy: 0.885, Validation Accuracy: 0.852, Loss: 0.410\n", "Epoch 9 Batch 67/78 - Train Accuracy: 0.847, Validation Accuracy: 0.843, Loss: 0.425\n", "Epoch 9 Batch 68/78 - Train Accuracy: 0.850, Validation Accuracy: 0.848, Loss: 0.462\n", "Epoch 9 Batch 69/78 - Train Accuracy: 0.876, Validation Accuracy: 0.855, Loss: 0.390\n", "Epoch 9 Batch 70/78 - Train Accuracy: 0.846, Validation Accuracy: 0.857, Loss: 0.444\n", "Epoch 9 Batch 71/78 - Train Accuracy: 0.848, Validation Accuracy: 0.859, Loss: 0.420\n", "Epoch 9 Batch 72/78 - Train Accuracy: 0.845, Validation Accuracy: 0.859, Loss: 0.472\n", "Epoch 9 Batch 73/78 - Train Accuracy: 0.837, Validation Accuracy: 0.862, Loss: 0.521\n", "Epoch 9 Batch 74/78 - Train Accuracy: 0.857, Validation Accuracy: 0.855, Loss: 0.450\n", "Epoch 9 Batch 75/78 - Train Accuracy: 0.862, Validation Accuracy: 0.846, Loss: 0.423\n", "Epoch 9 Batch 76/78 - Train Accuracy: 0.833, Validation Accuracy: 0.855, Loss: 0.487\n", "Epoch 10 Batch 0/78 - Train Accuracy: 0.847, Validation Accuracy: 0.859, Loss: 0.455\n", "Epoch 10 Batch 1/78 - Train Accuracy: 0.872, Validation Accuracy: 0.855, Loss: 0.422\n", "Epoch 10 Batch 2/78 - Train Accuracy: 0.879, Validation Accuracy: 0.857, Loss: 0.404\n", "Epoch 10 Batch 3/78 - Train Accuracy: 0.878, Validation Accuracy: 0.854, Loss: 0.404\n", "Epoch 10 Batch 4/78 - Train Accuracy: 0.842, Validation Accuracy: 0.857, Loss: 0.440\n", "Epoch 10 Batch 5/78 - Train Accuracy: 0.855, Validation Accuracy: 0.850, Loss: 0.405\n", "Epoch 10 Batch 6/78 - Train Accuracy: 0.873, Validation Accuracy: 0.855, Loss: 0.407\n", "Epoch 10 Batch 7/78 - Train Accuracy: 0.865, Validation Accuracy: 0.856, Loss: 0.421\n", "Epoch 10 Batch 8/78 - Train Accuracy: 0.864, Validation Accuracy: 0.854, Loss: 0.448\n", "Epoch 10 Batch 9/78 - Train Accuracy: 0.862, Validation Accuracy: 0.856, Loss: 0.425\n", "Epoch 10 Batch 10/78 - Train Accuracy: 0.867, Validation Accuracy: 0.859, Loss: 0.453\n", "Epoch 10 Batch 11/78 - Train Accuracy: 0.846, Validation Accuracy: 0.862, Loss: 0.481\n", "Epoch 10 Batch 12/78 - Train Accuracy: 0.863, Validation Accuracy: 0.852, Loss: 0.444\n", "Epoch 10 Batch 13/78 - Train Accuracy: 0.855, Validation Accuracy: 0.855, Loss: 0.428\n", "Epoch 10 Batch 14/78 - Train Accuracy: 0.891, Validation Accuracy: 0.858, Loss: 0.362\n", "Epoch 10 Batch 15/78 - Train Accuracy: 0.859, Validation Accuracy: 0.858, Loss: 0.456\n", "Epoch 10 Batch 16/78 - Train Accuracy: 0.872, Validation Accuracy: 0.852, Loss: 0.406\n", "Epoch 10 Batch 17/78 - Train Accuracy: 0.883, Validation Accuracy: 0.850, Loss: 0.371\n", "Epoch 10 Batch 18/78 - Train Accuracy: 0.871, Validation Accuracy: 0.856, Loss: 0.426\n", "Epoch 10 Batch 19/78 - Train Accuracy: 0.866, Validation Accuracy: 0.857, Loss: 0.404\n", "Epoch 10 Batch 20/78 - Train Accuracy: 0.904, Validation Accuracy: 0.862, Loss: 0.346\n", "Epoch 10 Batch 21/78 - Train Accuracy: 0.844, Validation Accuracy: 0.854, Loss: 0.453\n", "Epoch 10 Batch 22/78 - Train Accuracy: 0.843, Validation Accuracy: 0.862, Loss: 0.470\n", "Epoch 10 Batch 23/78 - Train Accuracy: 0.887, Validation Accuracy: 0.864, Loss: 0.365\n", "Epoch 10 Batch 24/78 - Train Accuracy: 0.869, Validation Accuracy: 0.862, Loss: 0.407\n", "Epoch 10 Batch 25/78 - Train Accuracy: 0.844, Validation Accuracy: 0.856, Loss: 0.441\n", "Epoch 10 Batch 26/78 - Train Accuracy: 0.860, Validation Accuracy: 0.856, Loss: 0.465\n", "Epoch 10 Batch 27/78 - Train Accuracy: 0.863, Validation Accuracy: 0.855, Loss: 0.425\n", "Epoch 10 Batch 28/78 - Train Accuracy: 0.854, Validation Accuracy: 0.855, Loss: 0.450\n", "Epoch 10 Batch 29/78 - Train Accuracy: 0.875, Validation Accuracy: 0.857, Loss: 0.391\n", "Epoch 10 Batch 30/78 - Train Accuracy: 0.878, Validation Accuracy: 0.856, Loss: 0.407\n", "Epoch 10 Batch 31/78 - Train Accuracy: 0.878, Validation Accuracy: 0.856, Loss: 0.362\n", "Epoch 10 Batch 32/78 - Train Accuracy: 0.862, Validation Accuracy: 0.849, Loss: 0.424\n", "Epoch 10 Batch 33/78 - Train Accuracy: 0.843, Validation Accuracy: 0.855, Loss: 0.447\n", "Epoch 10 Batch 34/78 - Train Accuracy: 0.879, Validation Accuracy: 0.847, Loss: 0.400\n", "Epoch 10 Batch 35/78 - Train Accuracy: 0.866, Validation Accuracy: 0.857, Loss: 0.405\n", "Epoch 10 Batch 36/78 - Train Accuracy: 0.862, Validation Accuracy: 0.854, Loss: 0.413\n", "Epoch 10 Batch 37/78 - Train Accuracy: 0.857, Validation Accuracy: 0.854, Loss: 0.435\n", "Epoch 10 Batch 38/78 - Train Accuracy: 0.866, Validation Accuracy: 0.856, Loss: 0.393\n", "Epoch 10 Batch 39/78 - Train Accuracy: 0.853, Validation Accuracy: 0.848, Loss: 0.414\n", "Epoch 10 Batch 40/78 - Train Accuracy: 0.878, Validation Accuracy: 0.855, Loss: 0.399\n", "Epoch 10 Batch 41/78 - Train Accuracy: 0.826, Validation Accuracy: 0.852, Loss: 0.450\n", "Epoch 10 Batch 42/78 - Train Accuracy: 0.885, Validation Accuracy: 0.858, Loss: 0.385\n", "Epoch 10 Batch 43/78 - Train Accuracy: 0.855, Validation Accuracy: 0.859, Loss: 0.442\n", "Epoch 10 Batch 44/78 - Train Accuracy: 0.874, Validation Accuracy: 0.864, Loss: 0.405\n", "Epoch 10 Batch 45/78 - Train Accuracy: 0.867, Validation Accuracy: 0.853, Loss: 0.402\n", "Epoch 10 Batch 46/78 - Train Accuracy: 0.836, Validation Accuracy: 0.850, Loss: 0.455\n", "Epoch 10 Batch 47/78 - Train Accuracy: 0.863, Validation Accuracy: 0.858, Loss: 0.400\n", "Epoch 10 Batch 48/78 - Train Accuracy: 0.897, Validation Accuracy: 0.854, Loss: 0.335\n", "Epoch 10 Batch 49/78 - Train Accuracy: 0.857, Validation Accuracy: 0.858, Loss: 0.435\n", "Epoch 10 Batch 50/78 - Train Accuracy: 0.814, Validation Accuracy: 0.857, Loss: 0.477\n", "Epoch 10 Batch 51/78 - Train Accuracy: 0.886, Validation Accuracy: 0.862, Loss: 0.380\n", "Epoch 10 Batch 52/78 - Train Accuracy: 0.855, Validation Accuracy: 0.850, Loss: 0.411\n", "Epoch 10 Batch 53/78 - Train Accuracy: 0.883, Validation Accuracy: 0.859, Loss: 0.387\n", "Epoch 10 Batch 54/78 - Train Accuracy: 0.856, Validation Accuracy: 0.864, Loss: 0.426\n", "Epoch 10 Batch 55/78 - Train Accuracy: 0.855, Validation Accuracy: 0.859, Loss: 0.437\n", "Epoch 10 Batch 56/78 - Train Accuracy: 0.862, Validation Accuracy: 0.862, Loss: 0.418\n", "Epoch 10 Batch 57/78 - Train Accuracy: 0.871, Validation Accuracy: 0.858, Loss: 0.417\n", "Epoch 10 Batch 58/78 - Train Accuracy: 0.828, Validation Accuracy: 0.860, Loss: 0.479\n", "Epoch 10 Batch 59/78 - Train Accuracy: 0.847, Validation Accuracy: 0.860, Loss: 0.446\n", "Epoch 10 Batch 60/78 - Train Accuracy: 0.877, Validation Accuracy: 0.860, Loss: 0.367\n", "Epoch 10 Batch 61/78 - Train Accuracy: 0.862, Validation Accuracy: 0.863, Loss: 0.423\n", "Epoch 10 Batch 62/78 - Train Accuracy: 0.875, Validation Accuracy: 0.864, Loss: 0.382\n", "Epoch 10 Batch 63/78 - Train Accuracy: 0.874, Validation Accuracy: 0.864, Loss: 0.387\n", "Epoch 10 Batch 64/78 - Train Accuracy: 0.854, Validation Accuracy: 0.848, Loss: 0.405\n", "Epoch 10 Batch 65/78 - Train Accuracy: 0.897, Validation Accuracy: 0.860, Loss: 0.379\n", "Epoch 10 Batch 66/78 - Train Accuracy: 0.891, Validation Accuracy: 0.854, Loss: 0.353\n", "Epoch 10 Batch 67/78 - Train Accuracy: 0.871, Validation Accuracy: 0.857, Loss: 0.381\n", "Epoch 10 Batch 68/78 - Train Accuracy: 0.864, Validation Accuracy: 0.864, Loss: 0.403\n", "Epoch 10 Batch 69/78 - Train Accuracy: 0.888, Validation Accuracy: 0.865, Loss: 0.341\n", "Epoch 10 Batch 70/78 - Train Accuracy: 0.857, Validation Accuracy: 0.863, Loss: 0.403\n", "Epoch 10 Batch 71/78 - Train Accuracy: 0.868, Validation Accuracy: 0.860, Loss: 0.375\n", "Epoch 10 Batch 72/78 - Train Accuracy: 0.855, Validation Accuracy: 0.864, Loss: 0.424\n", "Epoch 10 Batch 73/78 - Train Accuracy: 0.845, Validation Accuracy: 0.864, Loss: 0.459\n", "Epoch 10 Batch 74/78 - Train Accuracy: 0.859, Validation Accuracy: 0.857, Loss: 0.398\n", "Epoch 10 Batch 75/78 - Train Accuracy: 0.867, Validation Accuracy: 0.866, Loss: 0.374\n", "Epoch 10 Batch 76/78 - Train Accuracy: 0.855, Validation Accuracy: 0.869, Loss: 0.431\n", "Epoch 11 Batch 0/78 - Train Accuracy: 0.864, Validation Accuracy: 0.867, Loss: 0.402\n", "Epoch 11 Batch 1/78 - Train Accuracy: 0.881, Validation Accuracy: 0.862, Loss: 0.371\n", "Epoch 11 Batch 2/78 - Train Accuracy: 0.883, Validation Accuracy: 0.859, Loss: 0.354\n", "Epoch 11 Batch 3/78 - Train Accuracy: 0.886, Validation Accuracy: 0.859, Loss: 0.356\n", "Epoch 11 Batch 4/78 - Train Accuracy: 0.860, Validation Accuracy: 0.864, Loss: 0.385\n", "Epoch 11 Batch 5/78 - Train Accuracy: 0.882, Validation Accuracy: 0.868, Loss: 0.359\n", "Epoch 11 Batch 6/78 - Train Accuracy: 0.890, Validation Accuracy: 0.868, Loss: 0.355\n", "Epoch 11 Batch 7/78 - Train Accuracy: 0.876, Validation Accuracy: 0.866, Loss: 0.367\n", "Epoch 11 Batch 8/78 - Train Accuracy: 0.884, Validation Accuracy: 0.860, Loss: 0.395\n", "Epoch 11 Batch 9/78 - Train Accuracy: 0.877, Validation Accuracy: 0.860, Loss: 0.376\n", "Epoch 11 Batch 10/78 - Train Accuracy: 0.885, Validation Accuracy: 0.865, Loss: 0.395\n", "Epoch 11 Batch 11/78 - Train Accuracy: 0.854, Validation Accuracy: 0.865, Loss: 0.428\n", "Epoch 11 Batch 12/78 - Train Accuracy: 0.877, Validation Accuracy: 0.867, Loss: 0.392\n", "Epoch 11 Batch 13/78 - Train Accuracy: 0.882, Validation Accuracy: 0.862, Loss: 0.374\n", "Epoch 11 Batch 14/78 - Train Accuracy: 0.900, Validation Accuracy: 0.859, Loss: 0.317\n", "Epoch 11 Batch 15/78 - Train Accuracy: 0.876, Validation Accuracy: 0.865, Loss: 0.405\n", "Epoch 11 Batch 16/78 - Train Accuracy: 0.883, Validation Accuracy: 0.866, Loss: 0.356\n", "Epoch 11 Batch 17/78 - Train Accuracy: 0.896, Validation Accuracy: 0.866, Loss: 0.323\n", "Epoch 11 Batch 18/78 - Train Accuracy: 0.874, Validation Accuracy: 0.867, Loss: 0.377\n", "Epoch 11 Batch 19/78 - Train Accuracy: 0.879, Validation Accuracy: 0.867, Loss: 0.358\n", "Epoch 11 Batch 20/78 - Train Accuracy: 0.905, Validation Accuracy: 0.867, Loss: 0.305\n", "Epoch 11 Batch 21/78 - Train Accuracy: 0.865, Validation Accuracy: 0.869, Loss: 0.396\n", "Epoch 11 Batch 22/78 - Train Accuracy: 0.849, Validation Accuracy: 0.872, Loss: 0.419\n", "Epoch 11 Batch 23/78 - Train Accuracy: 0.898, Validation Accuracy: 0.875, Loss: 0.318\n", "Epoch 11 Batch 24/78 - Train Accuracy: 0.885, Validation Accuracy: 0.876, Loss: 0.359\n", "Epoch 11 Batch 25/78 - Train Accuracy: 0.858, Validation Accuracy: 0.868, Loss: 0.392\n", "Epoch 11 Batch 26/78 - Train Accuracy: 0.866, Validation Accuracy: 0.866, Loss: 0.414\n", "Epoch 11 Batch 27/78 - Train Accuracy: 0.872, Validation Accuracy: 0.864, Loss: 0.371\n", "Epoch 11 Batch 28/78 - Train Accuracy: 0.859, Validation Accuracy: 0.865, Loss: 0.401\n", "Epoch 11 Batch 29/78 - Train Accuracy: 0.885, Validation Accuracy: 0.867, Loss: 0.344\n", "Epoch 11 Batch 30/78 - Train Accuracy: 0.886, Validation Accuracy: 0.866, Loss: 0.355\n", "Epoch 11 Batch 31/78 - Train Accuracy: 0.894, Validation Accuracy: 0.867, Loss: 0.320\n", "Epoch 11 Batch 32/78 - Train Accuracy: 0.873, Validation Accuracy: 0.866, Loss: 0.372\n", "Epoch 11 Batch 33/78 - Train Accuracy: 0.860, Validation Accuracy: 0.869, Loss: 0.397\n", "Epoch 11 Batch 34/78 - Train Accuracy: 0.896, Validation Accuracy: 0.874, Loss: 0.358\n", "Epoch 11 Batch 35/78 - Train Accuracy: 0.877, Validation Accuracy: 0.875, Loss: 0.357\n", "Epoch 11 Batch 36/78 - Train Accuracy: 0.869, Validation Accuracy: 0.871, Loss: 0.373\n", "Epoch 11 Batch 37/78 - Train Accuracy: 0.865, Validation Accuracy: 0.866, Loss: 0.379\n", "Epoch 11 Batch 38/78 - Train Accuracy: 0.888, Validation Accuracy: 0.866, Loss: 0.351\n", "Epoch 11 Batch 39/78 - Train Accuracy: 0.860, Validation Accuracy: 0.855, Loss: 0.370\n", "Epoch 11 Batch 40/78 - Train Accuracy: 0.888, Validation Accuracy: 0.862, Loss: 0.361\n", "Epoch 11 Batch 41/78 - Train Accuracy: 0.830, Validation Accuracy: 0.856, Loss: 0.411\n", "Epoch 11 Batch 42/78 - Train Accuracy: 0.879, Validation Accuracy: 0.844, Loss: 0.347\n", "Epoch 11 Batch 43/78 - Train Accuracy: 0.864, Validation Accuracy: 0.875, Loss: 0.423\n", "Epoch 11 Batch 44/78 - Train Accuracy: 0.875, Validation Accuracy: 0.860, Loss: 0.353\n", "Epoch 11 Batch 45/78 - Train Accuracy: 0.877, Validation Accuracy: 0.874, Loss: 0.385\n", "Epoch 11 Batch 46/78 - Train Accuracy: 0.842, Validation Accuracy: 0.862, Loss: 0.400\n", "Epoch 11 Batch 47/78 - Train Accuracy: 0.871, Validation Accuracy: 0.868, Loss: 0.373\n", "Epoch 11 Batch 48/78 - Train Accuracy: 0.913, Validation Accuracy: 0.867, Loss: 0.308\n", "Epoch 11 Batch 49/78 - Train Accuracy: 0.867, Validation Accuracy: 0.858, Loss: 0.386\n", "Epoch 11 Batch 50/78 - Train Accuracy: 0.847, Validation Accuracy: 0.874, Loss: 0.448\n", "Epoch 11 Batch 51/78 - Train Accuracy: 0.890, Validation Accuracy: 0.860, Loss: 0.327\n", "Epoch 11 Batch 52/78 - Train Accuracy: 0.869, Validation Accuracy: 0.865, Loss: 0.384\n", "Epoch 11 Batch 53/78 - Train Accuracy: 0.896, Validation Accuracy: 0.860, Loss: 0.340\n", "Epoch 11 Batch 54/78 - Train Accuracy: 0.873, Validation Accuracy: 0.857, Loss: 0.384\n", "Epoch 11 Batch 55/78 - Train Accuracy: 0.864, Validation Accuracy: 0.867, Loss: 0.398\n", "Epoch 11 Batch 56/78 - Train Accuracy: 0.856, Validation Accuracy: 0.858, Loss: 0.371\n", "Epoch 11 Batch 57/78 - Train Accuracy: 0.878, Validation Accuracy: 0.875, Loss: 0.386\n", "Epoch 11 Batch 58/78 - Train Accuracy: 0.836, Validation Accuracy: 0.869, Loss: 0.416\n", "Epoch 11 Batch 59/78 - Train Accuracy: 0.868, Validation Accuracy: 0.872, Loss: 0.400\n", "Epoch 11 Batch 60/78 - Train Accuracy: 0.893, Validation Accuracy: 0.871, Loss: 0.328\n", "Epoch 11 Batch 61/78 - Train Accuracy: 0.894, Validation Accuracy: 0.865, Loss: 0.371\n", "Epoch 11 Batch 62/78 - Train Accuracy: 0.887, Validation Accuracy: 0.871, Loss: 0.333\n", "Epoch 11 Batch 63/78 - Train Accuracy: 0.876, Validation Accuracy: 0.873, Loss: 0.339\n", "Epoch 11 Batch 64/78 - Train Accuracy: 0.865, Validation Accuracy: 0.876, Loss: 0.360\n", "Epoch 11 Batch 65/78 - Train Accuracy: 0.906, Validation Accuracy: 0.876, Loss: 0.336\n", "Epoch 11 Batch 66/78 - Train Accuracy: 0.910, Validation Accuracy: 0.865, Loss: 0.312\n", "Epoch 11 Batch 67/78 - Train Accuracy: 0.884, Validation Accuracy: 0.868, Loss: 0.329\n", "Epoch 11 Batch 68/78 - Train Accuracy: 0.881, Validation Accuracy: 0.865, Loss: 0.362\n", "Epoch 11 Batch 69/78 - Train Accuracy: 0.900, Validation Accuracy: 0.877, Loss: 0.299\n", "Epoch 11 Batch 70/78 - Train Accuracy: 0.875, Validation Accuracy: 0.876, Loss: 0.351\n", "Epoch 11 Batch 71/78 - Train Accuracy: 0.867, Validation Accuracy: 0.871, Loss: 0.330\n", "Epoch 11 Batch 72/78 - Train Accuracy: 0.869, Validation Accuracy: 0.875, Loss: 0.369\n", "Epoch 11 Batch 73/78 - Train Accuracy: 0.853, Validation Accuracy: 0.878, Loss: 0.408\n", "Epoch 11 Batch 74/78 - Train Accuracy: 0.874, Validation Accuracy: 0.872, Loss: 0.350\n", "Epoch 11 Batch 75/78 - Train Accuracy: 0.887, Validation Accuracy: 0.869, Loss: 0.326\n", "Epoch 11 Batch 76/78 - Train Accuracy: 0.859, Validation Accuracy: 0.867, Loss: 0.380\n", "Epoch 12 Batch 0/78 - Train Accuracy: 0.882, Validation Accuracy: 0.867, Loss: 0.351\n", "Epoch 12 Batch 1/78 - Train Accuracy: 0.893, Validation Accuracy: 0.866, Loss: 0.324\n", "Epoch 12 Batch 2/78 - Train Accuracy: 0.886, Validation Accuracy: 0.866, Loss: 0.313\n", "Epoch 12 Batch 3/78 - Train Accuracy: 0.891, Validation Accuracy: 0.868, Loss: 0.317\n", "Epoch 12 Batch 4/78 - Train Accuracy: 0.877, Validation Accuracy: 0.873, Loss: 0.340\n", "Epoch 12 Batch 5/78 - Train Accuracy: 0.891, Validation Accuracy: 0.874, Loss: 0.316\n", "Epoch 12 Batch 6/78 - Train Accuracy: 0.897, Validation Accuracy: 0.877, Loss: 0.310\n", "Epoch 12 Batch 7/78 - Train Accuracy: 0.891, Validation Accuracy: 0.874, Loss: 0.322\n", "Epoch 12 Batch 8/78 - Train Accuracy: 0.898, Validation Accuracy: 0.872, Loss: 0.347\n", "Epoch 12 Batch 9/78 - Train Accuracy: 0.875, Validation Accuracy: 0.875, Loss: 0.332\n", "Epoch 12 Batch 10/78 - Train Accuracy: 0.887, Validation Accuracy: 0.876, Loss: 0.348\n", "Epoch 12 Batch 11/78 - Train Accuracy: 0.864, Validation Accuracy: 0.873, Loss: 0.381\n", "Epoch 12 Batch 12/78 - Train Accuracy: 0.886, Validation Accuracy: 0.873, Loss: 0.348\n", "Epoch 12 Batch 13/78 - Train Accuracy: 0.894, Validation Accuracy: 0.873, Loss: 0.331\n", "Epoch 12 Batch 14/78 - Train Accuracy: 0.907, Validation Accuracy: 0.875, Loss: 0.280\n", "Epoch 12 Batch 15/78 - Train Accuracy: 0.872, Validation Accuracy: 0.873, Loss: 0.361\n", "Epoch 12 Batch 16/78 - Train Accuracy: 0.879, Validation Accuracy: 0.874, Loss: 0.314\n", "Epoch 12 Batch 17/78 - Train Accuracy: 0.896, Validation Accuracy: 0.877, Loss: 0.284\n", "Epoch 12 Batch 18/78 - Train Accuracy: 0.884, Validation Accuracy: 0.876, Loss: 0.336\n", "Epoch 12 Batch 19/78 - Train Accuracy: 0.888, Validation Accuracy: 0.877, Loss: 0.316\n", "Epoch 12 Batch 20/78 - Train Accuracy: 0.913, Validation Accuracy: 0.873, Loss: 0.267\n", "Epoch 12 Batch 21/78 - Train Accuracy: 0.881, Validation Accuracy: 0.877, Loss: 0.346\n", "Epoch 12 Batch 22/78 - Train Accuracy: 0.862, Validation Accuracy: 0.878, Loss: 0.374\n", "Epoch 12 Batch 23/78 - Train Accuracy: 0.914, Validation Accuracy: 0.879, Loss: 0.279\n", "Epoch 12 Batch 24/78 - Train Accuracy: 0.896, Validation Accuracy: 0.882, Loss: 0.315\n", "Epoch 12 Batch 25/78 - Train Accuracy: 0.869, Validation Accuracy: 0.878, Loss: 0.347\n", "Epoch 12 Batch 26/78 - Train Accuracy: 0.867, Validation Accuracy: 0.876, Loss: 0.367\n", "Epoch 12 Batch 27/78 - Train Accuracy: 0.893, Validation Accuracy: 0.868, Loss: 0.324\n", "Epoch 12 Batch 28/78 - Train Accuracy: 0.869, Validation Accuracy: 0.868, Loss: 0.355\n", "Epoch 12 Batch 29/78 - Train Accuracy: 0.906, Validation Accuracy: 0.871, Loss: 0.302\n", "Epoch 12 Batch 30/78 - Train Accuracy: 0.888, Validation Accuracy: 0.875, Loss: 0.310\n", "Epoch 12 Batch 31/78 - Train Accuracy: 0.905, Validation Accuracy: 0.877, Loss: 0.282\n", "Epoch 12 Batch 32/78 - Train Accuracy: 0.888, Validation Accuracy: 0.872, Loss: 0.328\n", "Epoch 12 Batch 33/78 - Train Accuracy: 0.875, Validation Accuracy: 0.874, Loss: 0.346\n", "Epoch 12 Batch 34/78 - Train Accuracy: 0.896, Validation Accuracy: 0.883, Loss: 0.322\n", "Epoch 12 Batch 35/78 - Train Accuracy: 0.887, Validation Accuracy: 0.884, Loss: 0.313\n", "Epoch 12 Batch 36/78 - Train Accuracy: 0.894, Validation Accuracy: 0.885, Loss: 0.327\n", "Epoch 12 Batch 37/78 - Train Accuracy: 0.878, Validation Accuracy: 0.877, Loss: 0.335\n", "Epoch 12 Batch 38/78 - Train Accuracy: 0.891, Validation Accuracy: 0.883, Loss: 0.303\n", "Epoch 12 Batch 39/78 - Train Accuracy: 0.873, Validation Accuracy: 0.882, Loss: 0.338\n", "Epoch 12 Batch 40/78 - Train Accuracy: 0.905, Validation Accuracy: 0.872, Loss: 0.306\n", "Epoch 12 Batch 41/78 - Train Accuracy: 0.853, Validation Accuracy: 0.875, Loss: 0.378\n", "Epoch 12 Batch 42/78 - Train Accuracy: 0.888, Validation Accuracy: 0.865, Loss: 0.297\n", "Epoch 12 Batch 43/78 - Train Accuracy: 0.872, Validation Accuracy: 0.873, Loss: 0.366\n", "Epoch 12 Batch 44/78 - Train Accuracy: 0.901, Validation Accuracy: 0.876, Loss: 0.303\n", "Epoch 12 Batch 45/78 - Train Accuracy: 0.888, Validation Accuracy: 0.873, Loss: 0.316\n", "Epoch 12 Batch 46/78 - Train Accuracy: 0.858, Validation Accuracy: 0.872, Loss: 0.364\n", "Epoch 12 Batch 47/78 - Train Accuracy: 0.882, Validation Accuracy: 0.873, Loss: 0.313\n", "Epoch 12 Batch 48/78 - Train Accuracy: 0.912, Validation Accuracy: 0.878, Loss: 0.263\n", "Epoch 12 Batch 49/78 - Train Accuracy: 0.877, Validation Accuracy: 0.878, Loss: 0.348\n", "Epoch 12 Batch 50/78 - Train Accuracy: 0.849, Validation Accuracy: 0.873, Loss: 0.374\n", "Epoch 12 Batch 51/78 - Train Accuracy: 0.905, Validation Accuracy: 0.881, Loss: 0.292\n", "Epoch 12 Batch 52/78 - Train Accuracy: 0.886, Validation Accuracy: 0.886, Loss: 0.320\n", "Epoch 12 Batch 53/78 - Train Accuracy: 0.907, Validation Accuracy: 0.879, Loss: 0.291\n", "Epoch 12 Batch 54/78 - Train Accuracy: 0.882, Validation Accuracy: 0.877, Loss: 0.343\n", "Epoch 12 Batch 55/78 - Train Accuracy: 0.874, Validation Accuracy: 0.877, Loss: 0.345\n", "Epoch 12 Batch 56/78 - Train Accuracy: 0.876, Validation Accuracy: 0.875, Loss: 0.331\n", "Epoch 12 Batch 57/78 - Train Accuracy: 0.895, Validation Accuracy: 0.876, Loss: 0.324\n", "Epoch 12 Batch 58/78 - Train Accuracy: 0.848, Validation Accuracy: 0.879, Loss: 0.365\n", "Epoch 12 Batch 59/78 - Train Accuracy: 0.881, Validation Accuracy: 0.882, Loss: 0.346\n", "Epoch 12 Batch 60/78 - Train Accuracy: 0.892, Validation Accuracy: 0.883, Loss: 0.281\n", "Epoch 12 Batch 61/78 - Train Accuracy: 0.901, Validation Accuracy: 0.882, Loss: 0.324\n", "Epoch 12 Batch 62/78 - Train Accuracy: 0.895, Validation Accuracy: 0.882, Loss: 0.294\n", "Epoch 12 Batch 63/78 - Train Accuracy: 0.883, Validation Accuracy: 0.881, Loss: 0.299\n", "Epoch 12 Batch 64/78 - Train Accuracy: 0.881, Validation Accuracy: 0.882, Loss: 0.318\n", "Epoch 12 Batch 65/78 - Train Accuracy: 0.919, Validation Accuracy: 0.876, Loss: 0.299\n", "Epoch 12 Batch 66/78 - Train Accuracy: 0.916, Validation Accuracy: 0.871, Loss: 0.270\n", "Epoch 12 Batch 67/78 - Train Accuracy: 0.908, Validation Accuracy: 0.869, Loss: 0.286\n", "Epoch 12 Batch 68/78 - Train Accuracy: 0.876, Validation Accuracy: 0.877, Loss: 0.316\n", "Epoch 12 Batch 69/78 - Train Accuracy: 0.910, Validation Accuracy: 0.879, Loss: 0.261\n", "Epoch 12 Batch 70/78 - Train Accuracy: 0.894, Validation Accuracy: 0.878, Loss: 0.309\n", "Epoch 12 Batch 71/78 - Train Accuracy: 0.890, Validation Accuracy: 0.878, Loss: 0.289\n", "Epoch 12 Batch 72/78 - Train Accuracy: 0.879, Validation Accuracy: 0.877, Loss: 0.324\n", "Epoch 12 Batch 73/78 - Train Accuracy: 0.871, Validation Accuracy: 0.872, Loss: 0.365\n", "Epoch 12 Batch 74/78 - Train Accuracy: 0.874, Validation Accuracy: 0.874, Loss: 0.316\n", "Epoch 12 Batch 75/78 - Train Accuracy: 0.893, Validation Accuracy: 0.872, Loss: 0.286\n", "Epoch 12 Batch 76/78 - Train Accuracy: 0.871, Validation Accuracy: 0.876, Loss: 0.340\n", "Epoch 13 Batch 0/78 - Train Accuracy: 0.888, Validation Accuracy: 0.878, Loss: 0.309\n", "Epoch 13 Batch 1/78 - Train Accuracy: 0.897, Validation Accuracy: 0.883, Loss: 0.287\n", "Epoch 13 Batch 2/78 - Train Accuracy: 0.895, Validation Accuracy: 0.879, Loss: 0.277\n", "Epoch 13 Batch 3/78 - Train Accuracy: 0.901, Validation Accuracy: 0.881, Loss: 0.281\n", "Epoch 13 Batch 4/78 - Train Accuracy: 0.887, Validation Accuracy: 0.877, Loss: 0.302\n", "Epoch 13 Batch 5/78 - Train Accuracy: 0.906, Validation Accuracy: 0.888, Loss: 0.282\n", "Epoch 13 Batch 6/78 - Train Accuracy: 0.904, Validation Accuracy: 0.882, Loss: 0.270\n", "Epoch 13 Batch 7/78 - Train Accuracy: 0.905, Validation Accuracy: 0.883, Loss: 0.286\n", "Epoch 13 Batch 8/78 - Train Accuracy: 0.903, Validation Accuracy: 0.884, Loss: 0.307\n", "Epoch 13 Batch 9/78 - Train Accuracy: 0.892, Validation Accuracy: 0.884, Loss: 0.290\n", "Epoch 13 Batch 10/78 - Train Accuracy: 0.902, Validation Accuracy: 0.881, Loss: 0.306\n", "Epoch 13 Batch 11/78 - Train Accuracy: 0.882, Validation Accuracy: 0.879, Loss: 0.335\n", "Epoch 13 Batch 12/78 - Train Accuracy: 0.895, Validation Accuracy: 0.877, Loss: 0.310\n", "Epoch 13 Batch 13/78 - Train Accuracy: 0.896, Validation Accuracy: 0.876, Loss: 0.291\n", "Epoch 13 Batch 14/78 - Train Accuracy: 0.912, Validation Accuracy: 0.881, Loss: 0.247\n", "Epoch 13 Batch 15/78 - Train Accuracy: 0.883, Validation Accuracy: 0.876, Loss: 0.320\n", "Epoch 13 Batch 16/78 - Train Accuracy: 0.900, Validation Accuracy: 0.876, Loss: 0.275\n", "Epoch 13 Batch 17/78 - Train Accuracy: 0.901, Validation Accuracy: 0.876, Loss: 0.249\n", "Epoch 13 Batch 18/78 - Train Accuracy: 0.892, Validation Accuracy: 0.885, Loss: 0.295\n", "Epoch 13 Batch 19/78 - Train Accuracy: 0.901, Validation Accuracy: 0.884, Loss: 0.277\n", "Epoch 13 Batch 20/78 - Train Accuracy: 0.923, Validation Accuracy: 0.887, Loss: 0.237\n", "Epoch 13 Batch 21/78 - Train Accuracy: 0.884, Validation Accuracy: 0.882, Loss: 0.303\n", "Epoch 13 Batch 22/78 - Train Accuracy: 0.868, Validation Accuracy: 0.883, Loss: 0.332\n", "Epoch 13 Batch 23/78 - Train Accuracy: 0.924, Validation Accuracy: 0.884, Loss: 0.244\n", "Epoch 13 Batch 24/78 - Train Accuracy: 0.902, Validation Accuracy: 0.879, Loss: 0.277\n", "Epoch 13 Batch 25/78 - Train Accuracy: 0.886, Validation Accuracy: 0.887, Loss: 0.306\n", "Epoch 13 Batch 26/78 - Train Accuracy: 0.875, Validation Accuracy: 0.879, Loss: 0.324\n", "Epoch 13 Batch 27/78 - Train Accuracy: 0.903, Validation Accuracy: 0.878, Loss: 0.281\n", "Epoch 13 Batch 28/78 - Train Accuracy: 0.878, Validation Accuracy: 0.884, Loss: 0.314\n", "Epoch 13 Batch 29/78 - Train Accuracy: 0.914, Validation Accuracy: 0.885, Loss: 0.265\n", "Epoch 13 Batch 30/78 - Train Accuracy: 0.892, Validation Accuracy: 0.887, Loss: 0.271\n", "Epoch 13 Batch 31/78 - Train Accuracy: 0.920, Validation Accuracy: 0.891, Loss: 0.247\n", "Epoch 13 Batch 32/78 - Train Accuracy: 0.885, Validation Accuracy: 0.890, Loss: 0.288\n", "Epoch 13 Batch 33/78 - Train Accuracy: 0.884, Validation Accuracy: 0.888, Loss: 0.303\n", "Epoch 13 Batch 34/78 - Train Accuracy: 0.898, Validation Accuracy: 0.892, Loss: 0.284\n", "Epoch 13 Batch 35/78 - Train Accuracy: 0.897, Validation Accuracy: 0.893, Loss: 0.279\n", "Epoch 13 Batch 36/78 - Train Accuracy: 0.905, Validation Accuracy: 0.896, Loss: 0.286\n", "Epoch 13 Batch 37/78 - Train Accuracy: 0.888, Validation Accuracy: 0.895, Loss: 0.295\n", "Epoch 13 Batch 38/78 - Train Accuracy: 0.900, Validation Accuracy: 0.901, Loss: 0.263\n", "Epoch 13 Batch 39/78 - Train Accuracy: 0.881, Validation Accuracy: 0.897, Loss: 0.294\n", "Epoch 13 Batch 40/78 - Train Accuracy: 0.910, Validation Accuracy: 0.894, Loss: 0.268\n", "Epoch 13 Batch 41/78 - Train Accuracy: 0.865, Validation Accuracy: 0.895, Loss: 0.325\n", "Epoch 13 Batch 42/78 - Train Accuracy: 0.904, Validation Accuracy: 0.885, Loss: 0.261\n", "Epoch 13 Batch 43/78 - Train Accuracy: 0.884, Validation Accuracy: 0.883, Loss: 0.308\n", "Epoch 13 Batch 44/78 - Train Accuracy: 0.917, Validation Accuracy: 0.898, Loss: 0.264\n", "Epoch 13 Batch 45/78 - Train Accuracy: 0.893, Validation Accuracy: 0.891, Loss: 0.274\n", "Epoch 13 Batch 46/78 - Train Accuracy: 0.877, Validation Accuracy: 0.895, Loss: 0.315\n", "Epoch 13 Batch 47/78 - Train Accuracy: 0.900, Validation Accuracy: 0.892, Loss: 0.277\n", "Epoch 13 Batch 48/78 - Train Accuracy: 0.922, Validation Accuracy: 0.892, Loss: 0.223\n", "Epoch 13 Batch 49/78 - Train Accuracy: 0.893, Validation Accuracy: 0.893, Loss: 0.307\n", "Epoch 13 Batch 50/78 - Train Accuracy: 0.867, Validation Accuracy: 0.888, Loss: 0.328\n", "Epoch 13 Batch 51/78 - Train Accuracy: 0.915, Validation Accuracy: 0.893, Loss: 0.251\n", "Epoch 13 Batch 52/78 - Train Accuracy: 0.885, Validation Accuracy: 0.892, Loss: 0.284\n", "Epoch 13 Batch 53/78 - Train Accuracy: 0.907, Validation Accuracy: 0.882, Loss: 0.251\n", "Epoch 13 Batch 54/78 - Train Accuracy: 0.887, Validation Accuracy: 0.888, Loss: 0.301\n", "Epoch 13 Batch 55/78 - Train Accuracy: 0.881, Validation Accuracy: 0.887, Loss: 0.305\n", "Epoch 13 Batch 56/78 - Train Accuracy: 0.884, Validation Accuracy: 0.891, Loss: 0.288\n", "Epoch 13 Batch 57/78 - Train Accuracy: 0.907, Validation Accuracy: 0.885, Loss: 0.281\n", "Epoch 13 Batch 58/78 - Train Accuracy: 0.878, Validation Accuracy: 0.887, Loss: 0.318\n", "Epoch 13 Batch 59/78 - Train Accuracy: 0.892, Validation Accuracy: 0.882, Loss: 0.298\n", "Epoch 13 Batch 60/78 - Train Accuracy: 0.913, Validation Accuracy: 0.888, Loss: 0.247\n", "Epoch 13 Batch 61/78 - Train Accuracy: 0.917, Validation Accuracy: 0.887, Loss: 0.288\n", "Epoch 13 Batch 62/78 - Train Accuracy: 0.904, Validation Accuracy: 0.893, Loss: 0.256\n", "Epoch 13 Batch 63/78 - Train Accuracy: 0.888, Validation Accuracy: 0.891, Loss: 0.268\n", "Epoch 13 Batch 64/78 - Train Accuracy: 0.891, Validation Accuracy: 0.886, Loss: 0.279\n", "Epoch 13 Batch 65/78 - Train Accuracy: 0.915, Validation Accuracy: 0.888, Loss: 0.265\n", "Epoch 13 Batch 66/78 - Train Accuracy: 0.930, Validation Accuracy: 0.885, Loss: 0.235\n", "Epoch 13 Batch 67/78 - Train Accuracy: 0.912, Validation Accuracy: 0.885, Loss: 0.246\n", "Epoch 13 Batch 68/78 - Train Accuracy: 0.892, Validation Accuracy: 0.886, Loss: 0.276\n", "Epoch 13 Batch 69/78 - Train Accuracy: 0.926, Validation Accuracy: 0.891, Loss: 0.231\n", "Epoch 13 Batch 70/78 - Train Accuracy: 0.903, Validation Accuracy: 0.893, Loss: 0.268\n", "Epoch 13 Batch 71/78 - Train Accuracy: 0.894, Validation Accuracy: 0.893, Loss: 0.254\n", "Epoch 13 Batch 72/78 - Train Accuracy: 0.891, Validation Accuracy: 0.895, Loss: 0.287\n", "Epoch 13 Batch 73/78 - Train Accuracy: 0.879, Validation Accuracy: 0.892, Loss: 0.322\n", "Epoch 13 Batch 74/78 - Train Accuracy: 0.882, Validation Accuracy: 0.893, Loss: 0.283\n", "Epoch 13 Batch 75/78 - Train Accuracy: 0.904, Validation Accuracy: 0.890, Loss: 0.248\n", "Epoch 13 Batch 76/78 - Train Accuracy: 0.891, Validation Accuracy: 0.890, Loss: 0.298\n", "Epoch 14 Batch 0/78 - Train Accuracy: 0.904, Validation Accuracy: 0.890, Loss: 0.272\n", "Epoch 14 Batch 1/78 - Train Accuracy: 0.913, Validation Accuracy: 0.898, Loss: 0.248\n", "Epoch 14 Batch 2/78 - Train Accuracy: 0.905, Validation Accuracy: 0.893, Loss: 0.242\n", "Epoch 14 Batch 3/78 - Train Accuracy: 0.904, Validation Accuracy: 0.893, Loss: 0.250\n", "Epoch 14 Batch 4/78 - Train Accuracy: 0.900, Validation Accuracy: 0.888, Loss: 0.261\n", "Epoch 14 Batch 5/78 - Train Accuracy: 0.921, Validation Accuracy: 0.892, Loss: 0.247\n", "Epoch 14 Batch 6/78 - Train Accuracy: 0.919, Validation Accuracy: 0.900, Loss: 0.232\n", "Epoch 14 Batch 7/78 - Train Accuracy: 0.907, Validation Accuracy: 0.898, Loss: 0.248\n", "Epoch 14 Batch 8/78 - Train Accuracy: 0.917, Validation Accuracy: 0.895, Loss: 0.270\n", "Epoch 14 Batch 9/78 - Train Accuracy: 0.905, Validation Accuracy: 0.898, Loss: 0.250\n", "Epoch 14 Batch 10/78 - Train Accuracy: 0.901, Validation Accuracy: 0.891, Loss: 0.267\n", "Epoch 14 Batch 11/78 - Train Accuracy: 0.887, Validation Accuracy: 0.894, Loss: 0.293\n", "Epoch 14 Batch 12/78 - Train Accuracy: 0.907, Validation Accuracy: 0.901, Loss: 0.273\n", "Epoch 14 Batch 13/78 - Train Accuracy: 0.902, Validation Accuracy: 0.900, Loss: 0.254\n", "Epoch 14 Batch 14/78 - Train Accuracy: 0.911, Validation Accuracy: 0.893, Loss: 0.215\n", "Epoch 14 Batch 15/78 - Train Accuracy: 0.897, Validation Accuracy: 0.891, Loss: 0.279\n", "Epoch 14 Batch 16/78 - Train Accuracy: 0.905, Validation Accuracy: 0.892, Loss: 0.241\n", "Epoch 14 Batch 17/78 - Train Accuracy: 0.912, Validation Accuracy: 0.895, Loss: 0.217\n", "Epoch 14 Batch 18/78 - Train Accuracy: 0.903, Validation Accuracy: 0.895, Loss: 0.257\n", "Epoch 14 Batch 19/78 - Train Accuracy: 0.902, Validation Accuracy: 0.892, Loss: 0.244\n", "Epoch 14 Batch 20/78 - Train Accuracy: 0.924, Validation Accuracy: 0.897, Loss: 0.206\n", "Epoch 14 Batch 21/78 - Train Accuracy: 0.905, Validation Accuracy: 0.896, Loss: 0.263\n", "Epoch 14 Batch 22/78 - Train Accuracy: 0.877, Validation Accuracy: 0.895, Loss: 0.293\n", "Epoch 14 Batch 23/78 - Train Accuracy: 0.930, Validation Accuracy: 0.895, Loss: 0.213\n", "Epoch 14 Batch 24/78 - Train Accuracy: 0.912, Validation Accuracy: 0.894, Loss: 0.241\n", "Epoch 14 Batch 25/78 - Train Accuracy: 0.903, Validation Accuracy: 0.886, Loss: 0.269\n", "Epoch 14 Batch 26/78 - Train Accuracy: 0.886, Validation Accuracy: 0.892, Loss: 0.287\n", "Epoch 14 Batch 27/78 - Train Accuracy: 0.913, Validation Accuracy: 0.894, Loss: 0.243\n", "Epoch 14 Batch 28/78 - Train Accuracy: 0.896, Validation Accuracy: 0.892, Loss: 0.277\n", "Epoch 14 Batch 29/78 - Train Accuracy: 0.927, Validation Accuracy: 0.896, Loss: 0.233\n", "Epoch 14 Batch 30/78 - Train Accuracy: 0.898, Validation Accuracy: 0.903, Loss: 0.236\n", "Epoch 14 Batch 31/78 - Train Accuracy: 0.931, Validation Accuracy: 0.902, Loss: 0.219\n", "Epoch 14 Batch 32/78 - Train Accuracy: 0.904, Validation Accuracy: 0.898, Loss: 0.253\n", "Epoch 14 Batch 33/78 - Train Accuracy: 0.903, Validation Accuracy: 0.902, Loss: 0.265\n", "Epoch 14 Batch 34/78 - Train Accuracy: 0.893, Validation Accuracy: 0.903, Loss: 0.252\n", "Epoch 14 Batch 35/78 - Train Accuracy: 0.893, Validation Accuracy: 0.900, Loss: 0.247\n", "Epoch 14 Batch 36/78 - Train Accuracy: 0.913, Validation Accuracy: 0.902, Loss: 0.254\n", "Epoch 14 Batch 37/78 - Train Accuracy: 0.905, Validation Accuracy: 0.911, Loss: 0.261\n", "Epoch 14 Batch 38/78 - Train Accuracy: 0.900, Validation Accuracy: 0.913, Loss: 0.228\n", "Epoch 14 Batch 39/78 - Train Accuracy: 0.893, Validation Accuracy: 0.914, Loss: 0.259\n", "Epoch 14 Batch 40/78 - Train Accuracy: 0.908, Validation Accuracy: 0.907, Loss: 0.235\n", "Epoch 14 Batch 41/78 - Train Accuracy: 0.884, Validation Accuracy: 0.896, Loss: 0.284\n", "Epoch 14 Batch 42/78 - Train Accuracy: 0.910, Validation Accuracy: 0.903, Loss: 0.229\n", "Epoch 14 Batch 43/78 - Train Accuracy: 0.891, Validation Accuracy: 0.900, Loss: 0.264\n", "Epoch 14 Batch 44/78 - Train Accuracy: 0.932, Validation Accuracy: 0.904, Loss: 0.228\n", "Epoch 14 Batch 45/78 - Train Accuracy: 0.911, Validation Accuracy: 0.900, Loss: 0.240\n", "Epoch 14 Batch 46/78 - Train Accuracy: 0.886, Validation Accuracy: 0.904, Loss: 0.275\n", "Epoch 14 Batch 47/78 - Train Accuracy: 0.912, Validation Accuracy: 0.911, Loss: 0.246\n", "Epoch 14 Batch 48/78 - Train Accuracy: 0.936, Validation Accuracy: 0.903, Loss: 0.189\n", "Epoch 14 Batch 49/78 - Train Accuracy: 0.894, Validation Accuracy: 0.901, Loss: 0.271\n", "Epoch 14 Batch 50/78 - Train Accuracy: 0.871, Validation Accuracy: 0.892, Loss: 0.290\n", "Epoch 14 Batch 51/78 - Train Accuracy: 0.917, Validation Accuracy: 0.894, Loss: 0.217\n", "Epoch 14 Batch 52/78 - Train Accuracy: 0.897, Validation Accuracy: 0.887, Loss: 0.250\n", "Epoch 14 Batch 53/78 - Train Accuracy: 0.912, Validation Accuracy: 0.893, Loss: 0.219\n", "Epoch 14 Batch 54/78 - Train Accuracy: 0.891, Validation Accuracy: 0.895, Loss: 0.263\n", "Epoch 14 Batch 55/78 - Train Accuracy: 0.902, Validation Accuracy: 0.893, Loss: 0.269\n", "Epoch 14 Batch 56/78 - Train Accuracy: 0.891, Validation Accuracy: 0.897, Loss: 0.251\n", "Epoch 14 Batch 57/78 - Train Accuracy: 0.919, Validation Accuracy: 0.900, Loss: 0.242\n", "Epoch 14 Batch 58/78 - Train Accuracy: 0.892, Validation Accuracy: 0.896, Loss: 0.277\n", "Epoch 14 Batch 59/78 - Train Accuracy: 0.912, Validation Accuracy: 0.896, Loss: 0.259\n", "Epoch 14 Batch 60/78 - Train Accuracy: 0.921, Validation Accuracy: 0.898, Loss: 0.215\n", "Epoch 14 Batch 61/78 - Train Accuracy: 0.916, Validation Accuracy: 0.904, Loss: 0.256\n", "Epoch 14 Batch 62/78 - Train Accuracy: 0.915, Validation Accuracy: 0.904, Loss: 0.223\n", "Epoch 14 Batch 63/78 - Train Accuracy: 0.901, Validation Accuracy: 0.901, Loss: 0.238\n", "Epoch 14 Batch 64/78 - Train Accuracy: 0.896, Validation Accuracy: 0.897, Loss: 0.247\n", "Epoch 14 Batch 65/78 - Train Accuracy: 0.912, Validation Accuracy: 0.893, Loss: 0.231\n", "Epoch 14 Batch 66/78 - Train Accuracy: 0.941, Validation Accuracy: 0.898, Loss: 0.205\n", "Epoch 14 Batch 67/78 - Train Accuracy: 0.922, Validation Accuracy: 0.900, Loss: 0.213\n", "Epoch 14 Batch 68/78 - Train Accuracy: 0.887, Validation Accuracy: 0.897, Loss: 0.239\n", "Epoch 14 Batch 69/78 - Train Accuracy: 0.936, Validation Accuracy: 0.898, Loss: 0.204\n", "Epoch 14 Batch 70/78 - Train Accuracy: 0.917, Validation Accuracy: 0.893, Loss: 0.236\n", "Epoch 14 Batch 71/78 - Train Accuracy: 0.901, Validation Accuracy: 0.894, Loss: 0.222\n", "Epoch 14 Batch 72/78 - Train Accuracy: 0.900, Validation Accuracy: 0.893, Loss: 0.253\n", "Epoch 14 Batch 73/78 - Train Accuracy: 0.890, Validation Accuracy: 0.894, Loss: 0.283\n", "Epoch 14 Batch 74/78 - Train Accuracy: 0.885, Validation Accuracy: 0.890, Loss: 0.251\n", "Epoch 14 Batch 75/78 - Train Accuracy: 0.915, Validation Accuracy: 0.894, Loss: 0.218\n", "Epoch 14 Batch 76/78 - Train Accuracy: 0.896, Validation Accuracy: 0.895, Loss: 0.260\n", "Epoch 15 Batch 0/78 - Train Accuracy: 0.914, Validation Accuracy: 0.898, Loss: 0.237\n", "Epoch 15 Batch 1/78 - Train Accuracy: 0.926, Validation Accuracy: 0.896, Loss: 0.215\n", "Epoch 15 Batch 2/78 - Train Accuracy: 0.904, Validation Accuracy: 0.893, Loss: 0.211\n", "Epoch 15 Batch 3/78 - Train Accuracy: 0.910, Validation Accuracy: 0.894, Loss: 0.221\n", "Epoch 15 Batch 4/78 - Train Accuracy: 0.910, Validation Accuracy: 0.896, Loss: 0.227\n", "Epoch 15 Batch 5/78 - Train Accuracy: 0.930, Validation Accuracy: 0.892, Loss: 0.215\n", "Epoch 15 Batch 6/78 - Train Accuracy: 0.932, Validation Accuracy: 0.901, Loss: 0.200\n", "Epoch 15 Batch 7/78 - Train Accuracy: 0.922, Validation Accuracy: 0.904, Loss: 0.214\n", "Epoch 15 Batch 8/78 - Train Accuracy: 0.927, Validation Accuracy: 0.900, Loss: 0.236\n", "Epoch 15 Batch 9/78 - Train Accuracy: 0.910, Validation Accuracy: 0.898, Loss: 0.216\n", "Epoch 15 Batch 10/78 - Train Accuracy: 0.902, Validation Accuracy: 0.898, Loss: 0.233\n", "Epoch 15 Batch 11/78 - Train Accuracy: 0.894, Validation Accuracy: 0.906, Loss: 0.255\n", "Epoch 15 Batch 12/78 - Train Accuracy: 0.920, Validation Accuracy: 0.908, Loss: 0.239\n", "Epoch 15 Batch 13/78 - Train Accuracy: 0.914, Validation Accuracy: 0.908, Loss: 0.222\n", "Epoch 15 Batch 14/78 - Train Accuracy: 0.925, Validation Accuracy: 0.904, Loss: 0.188\n", "Epoch 15 Batch 15/78 - Train Accuracy: 0.908, Validation Accuracy: 0.903, Loss: 0.243\n", "Epoch 15 Batch 16/78 - Train Accuracy: 0.916, Validation Accuracy: 0.902, Loss: 0.211\n", "Epoch 15 Batch 17/78 - Train Accuracy: 0.907, Validation Accuracy: 0.906, Loss: 0.190\n", "Epoch 15 Batch 18/78 - Train Accuracy: 0.921, Validation Accuracy: 0.907, Loss: 0.226\n", "Epoch 15 Batch 19/78 - Train Accuracy: 0.914, Validation Accuracy: 0.908, Loss: 0.215\n", "Epoch 15 Batch 20/78 - Train Accuracy: 0.925, Validation Accuracy: 0.905, Loss: 0.181\n", "Epoch 15 Batch 21/78 - Train Accuracy: 0.912, Validation Accuracy: 0.907, Loss: 0.228\n", "Epoch 15 Batch 22/78 - Train Accuracy: 0.893, Validation Accuracy: 0.902, Loss: 0.259\n", "Epoch 15 Batch 23/78 - Train Accuracy: 0.935, Validation Accuracy: 0.904, Loss: 0.187\n", "Epoch 15 Batch 24/78 - Train Accuracy: 0.915, Validation Accuracy: 0.906, Loss: 0.212\n", "Epoch 15 Batch 25/78 - Train Accuracy: 0.902, Validation Accuracy: 0.905, Loss: 0.236\n", "Epoch 15 Batch 26/78 - Train Accuracy: 0.896, Validation Accuracy: 0.906, Loss: 0.255\n", "Epoch 15 Batch 27/78 - Train Accuracy: 0.913, Validation Accuracy: 0.896, Loss: 0.211\n", "Epoch 15 Batch 28/78 - Train Accuracy: 0.911, Validation Accuracy: 0.901, Loss: 0.243\n", "Epoch 15 Batch 29/78 - Train Accuracy: 0.931, Validation Accuracy: 0.907, Loss: 0.206\n", "Epoch 15 Batch 30/78 - Train Accuracy: 0.920, Validation Accuracy: 0.904, Loss: 0.205\n", "Epoch 15 Batch 31/78 - Train Accuracy: 0.936, Validation Accuracy: 0.901, Loss: 0.193\n", "Epoch 15 Batch 32/78 - Train Accuracy: 0.910, Validation Accuracy: 0.903, Loss: 0.222\n", "Epoch 15 Batch 33/78 - Train Accuracy: 0.901, Validation Accuracy: 0.903, Loss: 0.231\n", "Epoch 15 Batch 34/78 - Train Accuracy: 0.896, Validation Accuracy: 0.904, Loss: 0.225\n", "Epoch 15 Batch 35/78 - Train Accuracy: 0.903, Validation Accuracy: 0.907, Loss: 0.218\n", "Epoch 15 Batch 36/78 - Train Accuracy: 0.912, Validation Accuracy: 0.902, Loss: 0.222\n", "Epoch 15 Batch 37/78 - Train Accuracy: 0.913, Validation Accuracy: 0.912, Loss: 0.231\n", "Epoch 15 Batch 38/78 - Train Accuracy: 0.916, Validation Accuracy: 0.920, Loss: 0.196\n", "Epoch 15 Batch 39/78 - Train Accuracy: 0.906, Validation Accuracy: 0.922, Loss: 0.226\n", "Epoch 15 Batch 40/78 - Train Accuracy: 0.911, Validation Accuracy: 0.925, Loss: 0.207\n", "Epoch 15 Batch 41/78 - Train Accuracy: 0.898, Validation Accuracy: 0.914, Loss: 0.242\n", "Epoch 15 Batch 42/78 - Train Accuracy: 0.924, Validation Accuracy: 0.917, Loss: 0.202\n", "Epoch 15 Batch 43/78 - Train Accuracy: 0.898, Validation Accuracy: 0.917, Loss: 0.223\n", "Epoch 15 Batch 44/78 - Train Accuracy: 0.932, Validation Accuracy: 0.916, Loss: 0.195\n", "Epoch 15 Batch 45/78 - Train Accuracy: 0.920, Validation Accuracy: 0.925, Loss: 0.214\n", "Epoch 15 Batch 46/78 - Train Accuracy: 0.895, Validation Accuracy: 0.922, Loss: 0.238\n", "Epoch 15 Batch 47/78 - Train Accuracy: 0.912, Validation Accuracy: 0.920, Loss: 0.218\n", "Epoch 15 Batch 48/78 - Train Accuracy: 0.950, Validation Accuracy: 0.916, Loss: 0.163\n", "Epoch 15 Batch 49/78 - Train Accuracy: 0.902, Validation Accuracy: 0.905, Loss: 0.235\n", "Epoch 15 Batch 50/78 - Train Accuracy: 0.882, Validation Accuracy: 0.908, Loss: 0.260\n", "Epoch 15 Batch 51/78 - Train Accuracy: 0.929, Validation Accuracy: 0.908, Loss: 0.187\n", "Epoch 15 Batch 52/78 - Train Accuracy: 0.906, Validation Accuracy: 0.903, Loss: 0.214\n", "Epoch 15 Batch 53/78 - Train Accuracy: 0.931, Validation Accuracy: 0.894, Loss: 0.194\n", "Epoch 15 Batch 54/78 - Train Accuracy: 0.913, Validation Accuracy: 0.902, Loss: 0.230\n", "Epoch 15 Batch 55/78 - Train Accuracy: 0.898, Validation Accuracy: 0.895, Loss: 0.234\n", "Epoch 15 Batch 56/78 - Train Accuracy: 0.895, Validation Accuracy: 0.901, Loss: 0.221\n", "Epoch 15 Batch 57/78 - Train Accuracy: 0.927, Validation Accuracy: 0.905, Loss: 0.209\n", "Epoch 15 Batch 58/78 - Train Accuracy: 0.908, Validation Accuracy: 0.903, Loss: 0.244\n", "Epoch 15 Batch 59/78 - Train Accuracy: 0.916, Validation Accuracy: 0.904, Loss: 0.227\n", "Epoch 15 Batch 60/78 - Train Accuracy: 0.920, Validation Accuracy: 0.913, Loss: 0.189\n", "Epoch 15 Batch 61/78 - Train Accuracy: 0.916, Validation Accuracy: 0.916, Loss: 0.227\n", "Epoch 15 Batch 62/78 - Train Accuracy: 0.929, Validation Accuracy: 0.906, Loss: 0.195\n", "Epoch 15 Batch 63/78 - Train Accuracy: 0.908, Validation Accuracy: 0.912, Loss: 0.205\n", "Epoch 15 Batch 64/78 - Train Accuracy: 0.894, Validation Accuracy: 0.910, Loss: 0.217\n", "Epoch 15 Batch 65/78 - Train Accuracy: 0.920, Validation Accuracy: 0.913, Loss: 0.201\n", "Epoch 15 Batch 66/78 - Train Accuracy: 0.936, Validation Accuracy: 0.913, Loss: 0.178\n", "Epoch 15 Batch 67/78 - Train Accuracy: 0.930, Validation Accuracy: 0.914, Loss: 0.184\n", "Epoch 15 Batch 68/78 - Train Accuracy: 0.897, Validation Accuracy: 0.910, Loss: 0.209\n", "Epoch 15 Batch 69/78 - Train Accuracy: 0.938, Validation Accuracy: 0.907, Loss: 0.180\n", "Epoch 15 Batch 70/78 - Train Accuracy: 0.921, Validation Accuracy: 0.901, Loss: 0.209\n", "Epoch 15 Batch 71/78 - Train Accuracy: 0.915, Validation Accuracy: 0.902, Loss: 0.195\n", "Epoch 15 Batch 72/78 - Train Accuracy: 0.910, Validation Accuracy: 0.902, Loss: 0.219\n", "Epoch 15 Batch 73/78 - Train Accuracy: 0.904, Validation Accuracy: 0.906, Loss: 0.248\n", "Epoch 15 Batch 74/78 - Train Accuracy: 0.878, Validation Accuracy: 0.903, Loss: 0.219\n", "Epoch 15 Batch 75/78 - Train Accuracy: 0.914, Validation Accuracy: 0.896, Loss: 0.191\n", "Epoch 15 Batch 76/78 - Train Accuracy: 0.907, Validation Accuracy: 0.906, Loss: 0.229\n", "Epoch 16 Batch 0/78 - Train Accuracy: 0.920, Validation Accuracy: 0.911, Loss: 0.206\n", "Epoch 16 Batch 1/78 - Train Accuracy: 0.941, Validation Accuracy: 0.903, Loss: 0.186\n", "Epoch 16 Batch 2/78 - Train Accuracy: 0.908, Validation Accuracy: 0.897, Loss: 0.186\n", "Epoch 16 Batch 3/78 - Train Accuracy: 0.911, Validation Accuracy: 0.911, Loss: 0.193\n", "Epoch 16 Batch 4/78 - Train Accuracy: 0.934, Validation Accuracy: 0.904, Loss: 0.198\n", "Epoch 16 Batch 5/78 - Train Accuracy: 0.933, Validation Accuracy: 0.895, Loss: 0.187\n", "Epoch 16 Batch 6/78 - Train Accuracy: 0.941, Validation Accuracy: 0.900, Loss: 0.174\n", "Epoch 16 Batch 7/78 - Train Accuracy: 0.939, Validation Accuracy: 0.901, Loss: 0.186\n", "Epoch 16 Batch 8/78 - Train Accuracy: 0.932, Validation Accuracy: 0.903, Loss: 0.206\n", "Epoch 16 Batch 9/78 - Train Accuracy: 0.925, Validation Accuracy: 0.905, Loss: 0.186\n", "Epoch 16 Batch 10/78 - Train Accuracy: 0.905, Validation Accuracy: 0.908, Loss: 0.207\n", "Epoch 16 Batch 11/78 - Train Accuracy: 0.912, Validation Accuracy: 0.908, Loss: 0.222\n", "Epoch 16 Batch 12/78 - Train Accuracy: 0.923, Validation Accuracy: 0.911, Loss: 0.209\n", "Epoch 16 Batch 13/78 - Train Accuracy: 0.919, Validation Accuracy: 0.907, Loss: 0.195\n", "Epoch 16 Batch 14/78 - Train Accuracy: 0.943, Validation Accuracy: 0.910, Loss: 0.164\n", "Epoch 16 Batch 15/78 - Train Accuracy: 0.910, Validation Accuracy: 0.917, Loss: 0.213\n", "Epoch 16 Batch 16/78 - Train Accuracy: 0.923, Validation Accuracy: 0.915, Loss: 0.186\n", "Epoch 16 Batch 17/78 - Train Accuracy: 0.917, Validation Accuracy: 0.919, Loss: 0.166\n", "Epoch 16 Batch 18/78 - Train Accuracy: 0.932, Validation Accuracy: 0.919, Loss: 0.200\n", "Epoch 16 Batch 19/78 - Train Accuracy: 0.917, Validation Accuracy: 0.916, Loss: 0.190\n", "Epoch 16 Batch 20/78 - Train Accuracy: 0.942, Validation Accuracy: 0.917, Loss: 0.159\n", "Epoch 16 Batch 21/78 - Train Accuracy: 0.919, Validation Accuracy: 0.916, Loss: 0.199\n", "Epoch 16 Batch 22/78 - Train Accuracy: 0.901, Validation Accuracy: 0.915, Loss: 0.229\n", "Epoch 16 Batch 23/78 - Train Accuracy: 0.945, Validation Accuracy: 0.917, Loss: 0.165\n", "Epoch 16 Batch 24/78 - Train Accuracy: 0.923, Validation Accuracy: 0.914, Loss: 0.188\n", "Epoch 16 Batch 25/78 - Train Accuracy: 0.905, Validation Accuracy: 0.912, Loss: 0.207\n", "Epoch 16 Batch 26/78 - Train Accuracy: 0.906, Validation Accuracy: 0.915, Loss: 0.226\n", "Epoch 16 Batch 27/78 - Train Accuracy: 0.921, Validation Accuracy: 0.904, Loss: 0.186\n", "Epoch 16 Batch 28/78 - Train Accuracy: 0.908, Validation Accuracy: 0.903, Loss: 0.212\n", "Epoch 16 Batch 29/78 - Train Accuracy: 0.940, Validation Accuracy: 0.910, Loss: 0.182\n", "Epoch 16 Batch 30/78 - Train Accuracy: 0.936, Validation Accuracy: 0.910, Loss: 0.180\n", "Epoch 16 Batch 31/78 - Train Accuracy: 0.938, Validation Accuracy: 0.910, Loss: 0.168\n", "Epoch 16 Batch 32/78 - Train Accuracy: 0.924, Validation Accuracy: 0.911, Loss: 0.194\n", "Epoch 16 Batch 33/78 - Train Accuracy: 0.913, Validation Accuracy: 0.915, Loss: 0.200\n", "Epoch 16 Batch 34/78 - Train Accuracy: 0.906, Validation Accuracy: 0.906, Loss: 0.198\n", "Epoch 16 Batch 35/78 - Train Accuracy: 0.911, Validation Accuracy: 0.906, Loss: 0.193\n", "Epoch 16 Batch 36/78 - Train Accuracy: 0.921, Validation Accuracy: 0.910, Loss: 0.193\n", "Epoch 16 Batch 37/78 - Train Accuracy: 0.919, Validation Accuracy: 0.919, Loss: 0.203\n", "Epoch 16 Batch 38/78 - Train Accuracy: 0.929, Validation Accuracy: 0.921, Loss: 0.169\n", "Epoch 16 Batch 39/78 - Train Accuracy: 0.919, Validation Accuracy: 0.925, Loss: 0.195\n", "Epoch 16 Batch 40/78 - Train Accuracy: 0.919, Validation Accuracy: 0.931, Loss: 0.182\n", "Epoch 16 Batch 41/78 - Train Accuracy: 0.901, Validation Accuracy: 0.922, Loss: 0.210\n", "Epoch 16 Batch 42/78 - Train Accuracy: 0.935, Validation Accuracy: 0.917, Loss: 0.177\n", "Epoch 16 Batch 43/78 - Train Accuracy: 0.920, Validation Accuracy: 0.927, Loss: 0.194\n", "Epoch 16 Batch 44/78 - Train Accuracy: 0.942, Validation Accuracy: 0.923, Loss: 0.167\n", "Epoch 16 Batch 45/78 - Train Accuracy: 0.924, Validation Accuracy: 0.932, Loss: 0.188\n", "Epoch 16 Batch 46/78 - Train Accuracy: 0.903, Validation Accuracy: 0.923, Loss: 0.210\n", "Epoch 16 Batch 47/78 - Train Accuracy: 0.919, Validation Accuracy: 0.925, Loss: 0.190\n", "Epoch 16 Batch 48/78 - Train Accuracy: 0.961, Validation Accuracy: 0.914, Loss: 0.142\n", "Epoch 16 Batch 49/78 - Train Accuracy: 0.900, Validation Accuracy: 0.916, Loss: 0.208\n", "Epoch 16 Batch 50/78 - Train Accuracy: 0.884, Validation Accuracy: 0.911, Loss: 0.228\n", "Epoch 16 Batch 51/78 - Train Accuracy: 0.938, Validation Accuracy: 0.915, Loss: 0.163\n", "Epoch 16 Batch 52/78 - Train Accuracy: 0.916, Validation Accuracy: 0.912, Loss: 0.184\n", "Epoch 16 Batch 53/78 - Train Accuracy: 0.931, Validation Accuracy: 0.912, Loss: 0.168\n", "Epoch 16 Batch 54/78 - Train Accuracy: 0.917, Validation Accuracy: 0.915, Loss: 0.205\n", "Epoch 16 Batch 55/78 - Train Accuracy: 0.907, Validation Accuracy: 0.911, Loss: 0.202\n", "Epoch 16 Batch 56/78 - Train Accuracy: 0.907, Validation Accuracy: 0.912, Loss: 0.195\n", "Epoch 16 Batch 57/78 - Train Accuracy: 0.934, Validation Accuracy: 0.916, Loss: 0.184\n", "Epoch 16 Batch 58/78 - Train Accuracy: 0.919, Validation Accuracy: 0.923, Loss: 0.216\n", "Epoch 16 Batch 59/78 - Train Accuracy: 0.922, Validation Accuracy: 0.920, Loss: 0.199\n", "Epoch 16 Batch 60/78 - Train Accuracy: 0.927, Validation Accuracy: 0.929, Loss: 0.169\n", "Epoch 16 Batch 61/78 - Train Accuracy: 0.921, Validation Accuracy: 0.931, Loss: 0.198\n", "Epoch 16 Batch 62/78 - Train Accuracy: 0.931, Validation Accuracy: 0.920, Loss: 0.171\n", "Epoch 16 Batch 63/78 - Train Accuracy: 0.922, Validation Accuracy: 0.931, Loss: 0.177\n", "Epoch 16 Batch 64/78 - Train Accuracy: 0.896, Validation Accuracy: 0.925, Loss: 0.188\n", "Epoch 16 Batch 65/78 - Train Accuracy: 0.923, Validation Accuracy: 0.924, Loss: 0.176\n", "Epoch 16 Batch 66/78 - Train Accuracy: 0.940, Validation Accuracy: 0.924, Loss: 0.156\n", "Epoch 16 Batch 67/78 - Train Accuracy: 0.935, Validation Accuracy: 0.923, Loss: 0.159\n", "Epoch 16 Batch 68/78 - Train Accuracy: 0.920, Validation Accuracy: 0.923, Loss: 0.184\n", "Epoch 16 Batch 69/78 - Train Accuracy: 0.945, Validation Accuracy: 0.926, Loss: 0.159\n", "Epoch 16 Batch 70/78 - Train Accuracy: 0.924, Validation Accuracy: 0.916, Loss: 0.182\n", "Epoch 16 Batch 71/78 - Train Accuracy: 0.926, Validation Accuracy: 0.916, Loss: 0.172\n", "Epoch 16 Batch 72/78 - Train Accuracy: 0.920, Validation Accuracy: 0.922, Loss: 0.189\n", "Epoch 16 Batch 73/78 - Train Accuracy: 0.907, Validation Accuracy: 0.914, Loss: 0.217\n", "Epoch 16 Batch 74/78 - Train Accuracy: 0.883, Validation Accuracy: 0.915, Loss: 0.193\n", "Epoch 16 Batch 75/78 - Train Accuracy: 0.935, Validation Accuracy: 0.917, Loss: 0.168\n", "Epoch 16 Batch 76/78 - Train Accuracy: 0.919, Validation Accuracy: 0.912, Loss: 0.203\n", "Epoch 17 Batch 0/78 - Train Accuracy: 0.921, Validation Accuracy: 0.916, Loss: 0.180\n", "Epoch 17 Batch 1/78 - Train Accuracy: 0.946, Validation Accuracy: 0.914, Loss: 0.161\n", "Epoch 17 Batch 2/78 - Train Accuracy: 0.913, Validation Accuracy: 0.919, Loss: 0.164\n", "Epoch 17 Batch 3/78 - Train Accuracy: 0.932, Validation Accuracy: 0.921, Loss: 0.170\n", "Epoch 17 Batch 4/78 - Train Accuracy: 0.942, Validation Accuracy: 0.915, Loss: 0.173\n", "Epoch 17 Batch 5/78 - Train Accuracy: 0.939, Validation Accuracy: 0.910, Loss: 0.165\n", "Epoch 17 Batch 6/78 - Train Accuracy: 0.946, Validation Accuracy: 0.916, Loss: 0.154\n", "Epoch 17 Batch 7/78 - Train Accuracy: 0.943, Validation Accuracy: 0.920, Loss: 0.166\n", "Epoch 17 Batch 8/78 - Train Accuracy: 0.934, Validation Accuracy: 0.922, Loss: 0.180\n", "Epoch 17 Batch 9/78 - Train Accuracy: 0.940, Validation Accuracy: 0.923, Loss: 0.162\n", "Epoch 17 Batch 10/78 - Train Accuracy: 0.905, Validation Accuracy: 0.923, Loss: 0.185\n", "Epoch 17 Batch 11/78 - Train Accuracy: 0.925, Validation Accuracy: 0.921, Loss: 0.195\n", "Epoch 17 Batch 12/78 - Train Accuracy: 0.932, Validation Accuracy: 0.923, Loss: 0.184\n", "Epoch 17 Batch 13/78 - Train Accuracy: 0.919, Validation Accuracy: 0.924, Loss: 0.171\n", "Epoch 17 Batch 14/78 - Train Accuracy: 0.945, Validation Accuracy: 0.921, Loss: 0.144\n", "Epoch 17 Batch 15/78 - Train Accuracy: 0.919, Validation Accuracy: 0.921, Loss: 0.187\n", "Epoch 17 Batch 16/78 - Train Accuracy: 0.936, Validation Accuracy: 0.926, Loss: 0.163\n", "Epoch 17 Batch 17/78 - Train Accuracy: 0.930, Validation Accuracy: 0.927, Loss: 0.145\n", "Epoch 17 Batch 18/78 - Train Accuracy: 0.926, Validation Accuracy: 0.930, Loss: 0.177\n", "Epoch 17 Batch 19/78 - Train Accuracy: 0.924, Validation Accuracy: 0.925, Loss: 0.169\n", "Epoch 17 Batch 20/78 - Train Accuracy: 0.948, Validation Accuracy: 0.922, Loss: 0.141\n", "Epoch 17 Batch 21/78 - Train Accuracy: 0.939, Validation Accuracy: 0.927, Loss: 0.174\n", "Epoch 17 Batch 22/78 - Train Accuracy: 0.908, Validation Accuracy: 0.930, Loss: 0.203\n", "Epoch 17 Batch 23/78 - Train Accuracy: 0.950, Validation Accuracy: 0.929, Loss: 0.145\n", "Epoch 17 Batch 24/78 - Train Accuracy: 0.922, Validation Accuracy: 0.929, Loss: 0.164\n", "Epoch 17 Batch 25/78 - Train Accuracy: 0.906, Validation Accuracy: 0.924, Loss: 0.182\n", "Epoch 17 Batch 26/78 - Train Accuracy: 0.910, Validation Accuracy: 0.915, Loss: 0.201\n", "Epoch 17 Batch 27/78 - Train Accuracy: 0.932, Validation Accuracy: 0.920, Loss: 0.163\n", "Epoch 17 Batch 28/78 - Train Accuracy: 0.926, Validation Accuracy: 0.922, Loss: 0.185\n", "Epoch 17 Batch 29/78 - Train Accuracy: 0.948, Validation Accuracy: 0.920, Loss: 0.159\n", "Epoch 17 Batch 30/78 - Train Accuracy: 0.949, Validation Accuracy: 0.916, Loss: 0.159\n", "Epoch 17 Batch 31/78 - Train Accuracy: 0.944, Validation Accuracy: 0.922, Loss: 0.146\n", "Epoch 17 Batch 32/78 - Train Accuracy: 0.938, Validation Accuracy: 0.919, Loss: 0.170\n", "Epoch 17 Batch 33/78 - Train Accuracy: 0.911, Validation Accuracy: 0.924, Loss: 0.177\n", "Epoch 17 Batch 34/78 - Train Accuracy: 0.919, Validation Accuracy: 0.920, Loss: 0.174\n", "Epoch 17 Batch 35/78 - Train Accuracy: 0.920, Validation Accuracy: 0.921, Loss: 0.171\n", "Epoch 17 Batch 36/78 - Train Accuracy: 0.929, Validation Accuracy: 0.917, Loss: 0.169\n", "Epoch 17 Batch 37/78 - Train Accuracy: 0.925, Validation Accuracy: 0.929, Loss: 0.179\n", "Epoch 17 Batch 38/78 - Train Accuracy: 0.934, Validation Accuracy: 0.931, Loss: 0.146\n", "Epoch 17 Batch 39/78 - Train Accuracy: 0.927, Validation Accuracy: 0.932, Loss: 0.170\n", "Epoch 17 Batch 40/78 - Train Accuracy: 0.929, Validation Accuracy: 0.938, Loss: 0.160\n", "Epoch 17 Batch 41/78 - Train Accuracy: 0.901, Validation Accuracy: 0.924, Loss: 0.185\n", "Epoch 17 Batch 42/78 - Train Accuracy: 0.936, Validation Accuracy: 0.929, Loss: 0.156\n", "Epoch 17 Batch 43/78 - Train Accuracy: 0.941, Validation Accuracy: 0.932, Loss: 0.170\n", "Epoch 17 Batch 44/78 - Train Accuracy: 0.950, Validation Accuracy: 0.933, Loss: 0.145\n", "Epoch 17 Batch 45/78 - Train Accuracy: 0.924, Validation Accuracy: 0.940, Loss: 0.165\n", "Epoch 17 Batch 46/78 - Train Accuracy: 0.911, Validation Accuracy: 0.936, Loss: 0.186\n", "Epoch 17 Batch 47/78 - Train Accuracy: 0.916, Validation Accuracy: 0.932, Loss: 0.168\n", "Epoch 17 Batch 48/78 - Train Accuracy: 0.962, Validation Accuracy: 0.931, Loss: 0.122\n", "Epoch 17 Batch 49/78 - Train Accuracy: 0.913, Validation Accuracy: 0.923, Loss: 0.187\n", "Epoch 17 Batch 50/78 - Train Accuracy: 0.894, Validation Accuracy: 0.923, Loss: 0.201\n", "Epoch 17 Batch 51/78 - Train Accuracy: 0.952, Validation Accuracy: 0.926, Loss: 0.142\n", "Epoch 17 Batch 52/78 - Train Accuracy: 0.924, Validation Accuracy: 0.924, Loss: 0.162\n", "Epoch 17 Batch 53/78 - Train Accuracy: 0.941, Validation Accuracy: 0.919, Loss: 0.146\n", "Epoch 17 Batch 54/78 - Train Accuracy: 0.924, Validation Accuracy: 0.920, Loss: 0.182\n", "Epoch 17 Batch 55/78 - Train Accuracy: 0.924, Validation Accuracy: 0.925, Loss: 0.178\n", "Epoch 17 Batch 56/78 - Train Accuracy: 0.915, Validation Accuracy: 0.921, Loss: 0.172\n", "Epoch 17 Batch 57/78 - Train Accuracy: 0.944, Validation Accuracy: 0.923, Loss: 0.161\n", "Epoch 17 Batch 58/78 - Train Accuracy: 0.915, Validation Accuracy: 0.927, Loss: 0.193\n", "Epoch 17 Batch 59/78 - Train Accuracy: 0.935, Validation Accuracy: 0.924, Loss: 0.175\n", "Epoch 17 Batch 60/78 - Train Accuracy: 0.933, Validation Accuracy: 0.941, Loss: 0.151\n", "Epoch 17 Batch 61/78 - Train Accuracy: 0.936, Validation Accuracy: 0.940, Loss: 0.175\n", "Epoch 17 Batch 62/78 - Train Accuracy: 0.941, Validation Accuracy: 0.935, Loss: 0.150\n", "Epoch 17 Batch 63/78 - Train Accuracy: 0.922, Validation Accuracy: 0.938, Loss: 0.153\n", "Epoch 17 Batch 64/78 - Train Accuracy: 0.931, Validation Accuracy: 0.935, Loss: 0.164\n", "Epoch 17 Batch 65/78 - Train Accuracy: 0.933, Validation Accuracy: 0.930, Loss: 0.155\n", "Epoch 17 Batch 66/78 - Train Accuracy: 0.944, Validation Accuracy: 0.930, Loss: 0.137\n", "Epoch 17 Batch 67/78 - Train Accuracy: 0.950, Validation Accuracy: 0.933, Loss: 0.138\n", "Epoch 17 Batch 68/78 - Train Accuracy: 0.926, Validation Accuracy: 0.938, Loss: 0.162\n", "Epoch 17 Batch 69/78 - Train Accuracy: 0.946, Validation Accuracy: 0.931, Loss: 0.141\n", "Epoch 17 Batch 70/78 - Train Accuracy: 0.929, Validation Accuracy: 0.926, Loss: 0.161\n", "Epoch 17 Batch 71/78 - Train Accuracy: 0.929, Validation Accuracy: 0.927, Loss: 0.152\n", "Epoch 17 Batch 72/78 - Train Accuracy: 0.923, Validation Accuracy: 0.927, Loss: 0.165\n", "Epoch 17 Batch 73/78 - Train Accuracy: 0.923, Validation Accuracy: 0.931, Loss: 0.191\n", "Epoch 17 Batch 74/78 - Train Accuracy: 0.884, Validation Accuracy: 0.934, Loss: 0.172\n", "Epoch 17 Batch 75/78 - Train Accuracy: 0.941, Validation Accuracy: 0.926, Loss: 0.149\n", "Epoch 17 Batch 76/78 - Train Accuracy: 0.923, Validation Accuracy: 0.917, Loss: 0.180\n", "Epoch 18 Batch 0/78 - Train Accuracy: 0.922, Validation Accuracy: 0.917, Loss: 0.159\n", "Epoch 18 Batch 1/78 - Train Accuracy: 0.960, Validation Accuracy: 0.917, Loss: 0.140\n", "Epoch 18 Batch 2/78 - Train Accuracy: 0.924, Validation Accuracy: 0.921, Loss: 0.145\n", "Epoch 18 Batch 3/78 - Train Accuracy: 0.934, Validation Accuracy: 0.926, Loss: 0.152\n", "Epoch 18 Batch 4/78 - Train Accuracy: 0.954, Validation Accuracy: 0.923, Loss: 0.154\n", "Epoch 18 Batch 5/78 - Train Accuracy: 0.943, Validation Accuracy: 0.922, Loss: 0.147\n", "Epoch 18 Batch 6/78 - Train Accuracy: 0.941, Validation Accuracy: 0.919, Loss: 0.137\n", "Epoch 18 Batch 7/78 - Train Accuracy: 0.945, Validation Accuracy: 0.924, Loss: 0.148\n", "Epoch 18 Batch 8/78 - Train Accuracy: 0.936, Validation Accuracy: 0.923, Loss: 0.159\n", "Epoch 18 Batch 9/78 - Train Accuracy: 0.939, Validation Accuracy: 0.924, Loss: 0.142\n", "Epoch 18 Batch 10/78 - Train Accuracy: 0.916, Validation Accuracy: 0.927, Loss: 0.166\n", "Epoch 18 Batch 11/78 - Train Accuracy: 0.926, Validation Accuracy: 0.926, Loss: 0.172\n", "Epoch 18 Batch 12/78 - Train Accuracy: 0.924, Validation Accuracy: 0.925, Loss: 0.163\n", "Epoch 18 Batch 13/78 - Train Accuracy: 0.930, Validation Accuracy: 0.926, Loss: 0.151\n", "Epoch 18 Batch 14/78 - Train Accuracy: 0.959, Validation Accuracy: 0.925, Loss: 0.126\n", "Epoch 18 Batch 15/78 - Train Accuracy: 0.924, Validation Accuracy: 0.923, Loss: 0.166\n", "Epoch 18 Batch 16/78 - Train Accuracy: 0.945, Validation Accuracy: 0.924, Loss: 0.143\n", "Epoch 18 Batch 17/78 - Train Accuracy: 0.938, Validation Accuracy: 0.934, Loss: 0.128\n", "Epoch 18 Batch 18/78 - Train Accuracy: 0.930, Validation Accuracy: 0.938, Loss: 0.157\n", "Epoch 18 Batch 19/78 - Train Accuracy: 0.934, Validation Accuracy: 0.922, Loss: 0.151\n", "Epoch 18 Batch 20/78 - Train Accuracy: 0.948, Validation Accuracy: 0.921, Loss: 0.125\n", "Epoch 18 Batch 21/78 - Train Accuracy: 0.949, Validation Accuracy: 0.927, Loss: 0.154\n", "Epoch 18 Batch 22/78 - Train Accuracy: 0.920, Validation Accuracy: 0.932, Loss: 0.182\n", "Epoch 18 Batch 23/78 - Train Accuracy: 0.960, Validation Accuracy: 0.931, Loss: 0.129\n", "Epoch 18 Batch 24/78 - Train Accuracy: 0.927, Validation Accuracy: 0.929, Loss: 0.145\n", "Epoch 18 Batch 25/78 - Train Accuracy: 0.920, Validation Accuracy: 0.932, Loss: 0.161\n", "Epoch 18 Batch 26/78 - Train Accuracy: 0.929, Validation Accuracy: 0.930, Loss: 0.180\n", "Epoch 18 Batch 27/78 - Train Accuracy: 0.949, Validation Accuracy: 0.930, Loss: 0.144\n", "Epoch 18 Batch 28/78 - Train Accuracy: 0.925, Validation Accuracy: 0.930, Loss: 0.162\n", "Epoch 18 Batch 29/78 - Train Accuracy: 0.946, Validation Accuracy: 0.925, Loss: 0.140\n", "Epoch 18 Batch 30/78 - Train Accuracy: 0.955, Validation Accuracy: 0.923, Loss: 0.141\n", "Epoch 18 Batch 31/78 - Train Accuracy: 0.950, Validation Accuracy: 0.926, Loss: 0.128\n", "Epoch 18 Batch 32/78 - Train Accuracy: 0.939, Validation Accuracy: 0.926, Loss: 0.151\n", "Epoch 18 Batch 33/78 - Train Accuracy: 0.919, Validation Accuracy: 0.929, Loss: 0.157\n", "Epoch 18 Batch 34/78 - Train Accuracy: 0.919, Validation Accuracy: 0.930, Loss: 0.154\n", "Epoch 18 Batch 35/78 - Train Accuracy: 0.926, Validation Accuracy: 0.919, Loss: 0.153\n", "Epoch 18 Batch 36/78 - Train Accuracy: 0.938, Validation Accuracy: 0.925, Loss: 0.151\n", "Epoch 18 Batch 37/78 - Train Accuracy: 0.929, Validation Accuracy: 0.932, Loss: 0.159\n", "Epoch 18 Batch 38/78 - Train Accuracy: 0.953, Validation Accuracy: 0.935, Loss: 0.127\n", "Epoch 18 Batch 39/78 - Train Accuracy: 0.929, Validation Accuracy: 0.943, Loss: 0.150\n", "Epoch 18 Batch 40/78 - Train Accuracy: 0.942, Validation Accuracy: 0.936, Loss: 0.141\n", "Epoch 18 Batch 41/78 - Train Accuracy: 0.910, Validation Accuracy: 0.927, Loss: 0.163\n", "Epoch 18 Batch 42/78 - Train Accuracy: 0.946, Validation Accuracy: 0.929, Loss: 0.139\n", "Epoch 18 Batch 43/78 - Train Accuracy: 0.940, Validation Accuracy: 0.943, Loss: 0.149\n", "Epoch 18 Batch 44/78 - Train Accuracy: 0.951, Validation Accuracy: 0.936, Loss: 0.127\n", "Epoch 18 Batch 45/78 - Train Accuracy: 0.932, Validation Accuracy: 0.938, Loss: 0.146\n", "Epoch 18 Batch 46/78 - Train Accuracy: 0.922, Validation Accuracy: 0.938, Loss: 0.166\n", "Epoch 18 Batch 47/78 - Train Accuracy: 0.933, Validation Accuracy: 0.935, Loss: 0.150\n", "Epoch 18 Batch 48/78 - Train Accuracy: 0.970, Validation Accuracy: 0.935, Loss: 0.106\n", "Epoch 18 Batch 49/78 - Train Accuracy: 0.921, Validation Accuracy: 0.933, Loss: 0.169\n", "Epoch 18 Batch 50/78 - Train Accuracy: 0.902, Validation Accuracy: 0.931, Loss: 0.177\n", "Epoch 18 Batch 51/78 - Train Accuracy: 0.949, Validation Accuracy: 0.936, Loss: 0.124\n", "Epoch 18 Batch 52/78 - Train Accuracy: 0.939, Validation Accuracy: 0.938, Loss: 0.145\n", "Epoch 18 Batch 53/78 - Train Accuracy: 0.948, Validation Accuracy: 0.933, Loss: 0.129\n", "Epoch 18 Batch 54/78 - Train Accuracy: 0.934, Validation Accuracy: 0.932, Loss: 0.163\n", "Epoch 18 Batch 55/78 - Train Accuracy: 0.932, Validation Accuracy: 0.930, Loss: 0.157\n", "Epoch 18 Batch 56/78 - Train Accuracy: 0.932, Validation Accuracy: 0.926, Loss: 0.152\n", "Epoch 18 Batch 57/78 - Train Accuracy: 0.946, Validation Accuracy: 0.930, Loss: 0.143\n", "Epoch 18 Batch 58/78 - Train Accuracy: 0.925, Validation Accuracy: 0.927, Loss: 0.174\n", "Epoch 18 Batch 59/78 - Train Accuracy: 0.941, Validation Accuracy: 0.929, Loss: 0.154\n", "Epoch 18 Batch 60/78 - Train Accuracy: 0.932, Validation Accuracy: 0.943, Loss: 0.136\n", "Epoch 18 Batch 61/78 - Train Accuracy: 0.952, Validation Accuracy: 0.942, Loss: 0.156\n", "Epoch 18 Batch 62/78 - Train Accuracy: 0.950, Validation Accuracy: 0.939, Loss: 0.133\n", "Epoch 18 Batch 63/78 - Train Accuracy: 0.935, Validation Accuracy: 0.940, Loss: 0.133\n", "Epoch 18 Batch 64/78 - Train Accuracy: 0.935, Validation Accuracy: 0.934, Loss: 0.143\n", "Epoch 18 Batch 65/78 - Train Accuracy: 0.940, Validation Accuracy: 0.935, Loss: 0.137\n", "Epoch 18 Batch 66/78 - Train Accuracy: 0.943, Validation Accuracy: 0.938, Loss: 0.123\n", "Epoch 18 Batch 67/78 - Train Accuracy: 0.961, Validation Accuracy: 0.939, Loss: 0.120\n", "Epoch 18 Batch 68/78 - Train Accuracy: 0.930, Validation Accuracy: 0.941, Loss: 0.143\n", "Epoch 18 Batch 69/78 - Train Accuracy: 0.949, Validation Accuracy: 0.936, Loss: 0.126\n", "Epoch 18 Batch 70/78 - Train Accuracy: 0.934, Validation Accuracy: 0.930, Loss: 0.143\n", "Epoch 18 Batch 71/78 - Train Accuracy: 0.930, Validation Accuracy: 0.932, Loss: 0.135\n", "Epoch 18 Batch 72/78 - Train Accuracy: 0.933, Validation Accuracy: 0.932, Loss: 0.145\n", "Epoch 18 Batch 73/78 - Train Accuracy: 0.929, Validation Accuracy: 0.939, Loss: 0.169\n", "Epoch 18 Batch 74/78 - Train Accuracy: 0.890, Validation Accuracy: 0.942, Loss: 0.155\n", "Epoch 18 Batch 75/78 - Train Accuracy: 0.955, Validation Accuracy: 0.938, Loss: 0.133\n", "Epoch 18 Batch 76/78 - Train Accuracy: 0.934, Validation Accuracy: 0.924, Loss: 0.160\n", "Epoch 19 Batch 0/78 - Train Accuracy: 0.931, Validation Accuracy: 0.927, Loss: 0.142\n", "Epoch 19 Batch 1/78 - Train Accuracy: 0.962, Validation Accuracy: 0.931, Loss: 0.122\n", "Epoch 19 Batch 2/78 - Train Accuracy: 0.940, Validation Accuracy: 0.935, Loss: 0.129\n", "Epoch 19 Batch 3/78 - Train Accuracy: 0.938, Validation Accuracy: 0.934, Loss: 0.136\n", "Epoch 19 Batch 4/78 - Train Accuracy: 0.948, Validation Accuracy: 0.942, Loss: 0.137\n", "Epoch 19 Batch 5/78 - Train Accuracy: 0.949, Validation Accuracy: 0.929, Loss: 0.131\n", "Epoch 19 Batch 6/78 - Train Accuracy: 0.941, Validation Accuracy: 0.927, Loss: 0.124\n", "Epoch 19 Batch 7/78 - Train Accuracy: 0.949, Validation Accuracy: 0.926, Loss: 0.133\n", "Epoch 19 Batch 8/78 - Train Accuracy: 0.939, Validation Accuracy: 0.931, Loss: 0.141\n", "Epoch 19 Batch 9/78 - Train Accuracy: 0.942, Validation Accuracy: 0.932, Loss: 0.126\n", "Epoch 19 Batch 10/78 - Train Accuracy: 0.916, Validation Accuracy: 0.936, Loss: 0.151\n", "Epoch 19 Batch 11/78 - Train Accuracy: 0.935, Validation Accuracy: 0.934, Loss: 0.154\n", "Epoch 19 Batch 12/78 - Train Accuracy: 0.938, Validation Accuracy: 0.931, Loss: 0.147\n", "Epoch 19 Batch 13/78 - Train Accuracy: 0.934, Validation Accuracy: 0.932, Loss: 0.134\n", "Epoch 19 Batch 14/78 - Train Accuracy: 0.958, Validation Accuracy: 0.933, Loss: 0.111\n", "Epoch 19 Batch 15/78 - Train Accuracy: 0.940, Validation Accuracy: 0.932, Loss: 0.149\n", "Epoch 19 Batch 16/78 - Train Accuracy: 0.946, Validation Accuracy: 0.939, Loss: 0.127\n", "Epoch 19 Batch 17/78 - Train Accuracy: 0.941, Validation Accuracy: 0.941, Loss: 0.114\n", "Epoch 19 Batch 18/78 - Train Accuracy: 0.933, Validation Accuracy: 0.939, Loss: 0.141\n", "Epoch 19 Batch 19/78 - Train Accuracy: 0.948, Validation Accuracy: 0.932, Loss: 0.135\n", "Epoch 19 Batch 20/78 - Train Accuracy: 0.955, Validation Accuracy: 0.929, Loss: 0.111\n", "Epoch 19 Batch 21/78 - Train Accuracy: 0.950, Validation Accuracy: 0.933, Loss: 0.137\n", "Epoch 19 Batch 22/78 - Train Accuracy: 0.931, Validation Accuracy: 0.936, Loss: 0.163\n", "Epoch 19 Batch 23/78 - Train Accuracy: 0.958, Validation Accuracy: 0.942, Loss: 0.115\n", "Epoch 19 Batch 24/78 - Train Accuracy: 0.935, Validation Accuracy: 0.941, Loss: 0.130\n", "Epoch 19 Batch 25/78 - Train Accuracy: 0.927, Validation Accuracy: 0.934, Loss: 0.144\n", "Epoch 19 Batch 26/78 - Train Accuracy: 0.932, Validation Accuracy: 0.934, Loss: 0.162\n", "Epoch 19 Batch 27/78 - Train Accuracy: 0.956, Validation Accuracy: 0.938, Loss: 0.127\n", "Epoch 19 Batch 28/78 - Train Accuracy: 0.929, Validation Accuracy: 0.936, Loss: 0.144\n", "Epoch 19 Batch 29/78 - Train Accuracy: 0.953, Validation Accuracy: 0.935, Loss: 0.124\n", "Epoch 19 Batch 30/78 - Train Accuracy: 0.960, Validation Accuracy: 0.931, Loss: 0.125\n", "Epoch 19 Batch 31/78 - Train Accuracy: 0.955, Validation Accuracy: 0.935, Loss: 0.113\n", "Epoch 19 Batch 32/78 - Train Accuracy: 0.943, Validation Accuracy: 0.939, Loss: 0.135\n", "Epoch 19 Batch 33/78 - Train Accuracy: 0.935, Validation Accuracy: 0.938, Loss: 0.141\n", "Epoch 19 Batch 34/78 - Train Accuracy: 0.927, Validation Accuracy: 0.936, Loss: 0.137\n", "Epoch 19 Batch 35/78 - Train Accuracy: 0.938, Validation Accuracy: 0.939, Loss: 0.136\n", "Epoch 19 Batch 36/78 - Train Accuracy: 0.939, Validation Accuracy: 0.941, Loss: 0.134\n", "Epoch 19 Batch 37/78 - Train Accuracy: 0.933, Validation Accuracy: 0.939, Loss: 0.142\n", "Epoch 19 Batch 38/78 - Train Accuracy: 0.958, Validation Accuracy: 0.938, Loss: 0.111\n", "Epoch 19 Batch 39/78 - Train Accuracy: 0.942, Validation Accuracy: 0.942, Loss: 0.133\n", "Epoch 19 Batch 40/78 - Train Accuracy: 0.950, Validation Accuracy: 0.935, Loss: 0.126\n", "Epoch 19 Batch 41/78 - Train Accuracy: 0.916, Validation Accuracy: 0.938, Loss: 0.145\n", "Epoch 19 Batch 42/78 - Train Accuracy: 0.949, Validation Accuracy: 0.943, Loss: 0.125\n", "Epoch 19 Batch 43/78 - Train Accuracy: 0.938, Validation Accuracy: 0.946, Loss: 0.132\n", "Epoch 19 Batch 44/78 - Train Accuracy: 0.959, Validation Accuracy: 0.950, Loss: 0.112\n", "Epoch 19 Batch 45/78 - Train Accuracy: 0.942, Validation Accuracy: 0.948, Loss: 0.131\n", "Epoch 19 Batch 46/78 - Train Accuracy: 0.925, Validation Accuracy: 0.943, Loss: 0.148\n", "Epoch 19 Batch 47/78 - Train Accuracy: 0.933, Validation Accuracy: 0.944, Loss: 0.135\n", "Epoch 19 Batch 48/78 - Train Accuracy: 0.972, Validation Accuracy: 0.941, Loss: 0.093\n", "Epoch 19 Batch 49/78 - Train Accuracy: 0.923, Validation Accuracy: 0.944, Loss: 0.154\n", "Epoch 19 Batch 50/78 - Train Accuracy: 0.917, Validation Accuracy: 0.943, Loss: 0.156\n", "Epoch 19 Batch 51/78 - Train Accuracy: 0.955, Validation Accuracy: 0.949, Loss: 0.109\n", "Epoch 19 Batch 52/78 - Train Accuracy: 0.952, Validation Accuracy: 0.946, Loss: 0.132\n", "Epoch 19 Batch 53/78 - Train Accuracy: 0.954, Validation Accuracy: 0.942, Loss: 0.114\n", "Epoch 19 Batch 54/78 - Train Accuracy: 0.951, Validation Accuracy: 0.940, Loss: 0.146\n", "Epoch 19 Batch 55/78 - Train Accuracy: 0.938, Validation Accuracy: 0.941, Loss: 0.140\n", "Epoch 19 Batch 56/78 - Train Accuracy: 0.933, Validation Accuracy: 0.935, Loss: 0.136\n", "Epoch 19 Batch 57/78 - Train Accuracy: 0.948, Validation Accuracy: 0.934, Loss: 0.128\n", "Epoch 19 Batch 58/78 - Train Accuracy: 0.927, Validation Accuracy: 0.936, Loss: 0.157\n", "Epoch 19 Batch 59/78 - Train Accuracy: 0.946, Validation Accuracy: 0.938, Loss: 0.136\n", "Epoch 19 Batch 60/78 - Train Accuracy: 0.939, Validation Accuracy: 0.945, Loss: 0.123\n", "Epoch 19 Batch 61/78 - Train Accuracy: 0.952, Validation Accuracy: 0.946, Loss: 0.139\n", "Epoch 19 Batch 62/78 - Train Accuracy: 0.952, Validation Accuracy: 0.945, Loss: 0.118\n", "Epoch 19 Batch 63/78 - Train Accuracy: 0.952, Validation Accuracy: 0.940, Loss: 0.116\n", "Epoch 19 Batch 64/78 - Train Accuracy: 0.942, Validation Accuracy: 0.933, Loss: 0.126\n", "Epoch 19 Batch 65/78 - Train Accuracy: 0.945, Validation Accuracy: 0.935, Loss: 0.121\n", "Epoch 19 Batch 66/78 - Train Accuracy: 0.950, Validation Accuracy: 0.938, Loss: 0.110\n", "Epoch 19 Batch 67/78 - Train Accuracy: 0.962, Validation Accuracy: 0.941, Loss: 0.106\n", "Epoch 19 Batch 68/78 - Train Accuracy: 0.949, Validation Accuracy: 0.944, Loss: 0.127\n", "Epoch 19 Batch 69/78 - Train Accuracy: 0.950, Validation Accuracy: 0.938, Loss: 0.113\n", "Epoch 19 Batch 70/78 - Train Accuracy: 0.940, Validation Accuracy: 0.935, Loss: 0.127\n", "Epoch 19 Batch 71/78 - Train Accuracy: 0.930, Validation Accuracy: 0.940, Loss: 0.121\n", "Epoch 19 Batch 72/78 - Train Accuracy: 0.938, Validation Accuracy: 0.940, Loss: 0.129\n", "Epoch 19 Batch 73/78 - Train Accuracy: 0.945, Validation Accuracy: 0.941, Loss: 0.151\n", "Epoch 19 Batch 74/78 - Train Accuracy: 0.893, Validation Accuracy: 0.948, Loss: 0.139\n", "Epoch 19 Batch 75/78 - Train Accuracy: 0.963, Validation Accuracy: 0.945, Loss: 0.119\n", "Epoch 19 Batch 76/78 - Train Accuracy: 0.942, Validation Accuracy: 0.943, Loss: 0.143\n", "Epoch 20 Batch 0/78 - Train Accuracy: 0.940, Validation Accuracy: 0.934, Loss: 0.128\n", "Epoch 20 Batch 1/78 - Train Accuracy: 0.965, Validation Accuracy: 0.941, Loss: 0.107\n", "Epoch 20 Batch 2/78 - Train Accuracy: 0.950, Validation Accuracy: 0.943, Loss: 0.115\n", "Epoch 20 Batch 3/78 - Train Accuracy: 0.943, Validation Accuracy: 0.943, Loss: 0.122\n", "Epoch 20 Batch 4/78 - Train Accuracy: 0.956, Validation Accuracy: 0.943, Loss: 0.123\n", "Epoch 20 Batch 5/78 - Train Accuracy: 0.949, Validation Accuracy: 0.939, Loss: 0.118\n", "Epoch 20 Batch 6/78 - Train Accuracy: 0.935, Validation Accuracy: 0.930, Loss: 0.112\n", "Epoch 20 Batch 7/78 - Train Accuracy: 0.949, Validation Accuracy: 0.936, Loss: 0.121\n", "Epoch 20 Batch 8/78 - Train Accuracy: 0.935, Validation Accuracy: 0.941, Loss: 0.126\n", "Epoch 20 Batch 9/78 - Train Accuracy: 0.952, Validation Accuracy: 0.942, Loss: 0.113\n", "Epoch 20 Batch 10/78 - Train Accuracy: 0.919, Validation Accuracy: 0.943, Loss: 0.138\n", "Epoch 20 Batch 11/78 - Train Accuracy: 0.935, Validation Accuracy: 0.940, Loss: 0.139\n", "Epoch 20 Batch 12/78 - Train Accuracy: 0.940, Validation Accuracy: 0.939, Loss: 0.133\n", "Epoch 20 Batch 13/78 - Train Accuracy: 0.943, Validation Accuracy: 0.940, Loss: 0.120\n", "Epoch 20 Batch 14/78 - Train Accuracy: 0.961, Validation Accuracy: 0.941, Loss: 0.099\n", "Epoch 20 Batch 15/78 - Train Accuracy: 0.946, Validation Accuracy: 0.941, Loss: 0.134\n", "Epoch 20 Batch 16/78 - Train Accuracy: 0.951, Validation Accuracy: 0.948, Loss: 0.113\n", "Epoch 20 Batch 17/78 - Train Accuracy: 0.950, Validation Accuracy: 0.951, Loss: 0.103\n", "Epoch 20 Batch 18/78 - Train Accuracy: 0.942, Validation Accuracy: 0.945, Loss: 0.129\n", "Epoch 20 Batch 19/78 - Train Accuracy: 0.956, Validation Accuracy: 0.942, Loss: 0.121\n", "Epoch 20 Batch 20/78 - Train Accuracy: 0.952, Validation Accuracy: 0.933, Loss: 0.100\n", "Epoch 20 Batch 21/78 - Train Accuracy: 0.955, Validation Accuracy: 0.939, Loss: 0.122\n", "Epoch 20 Batch 22/78 - Train Accuracy: 0.938, Validation Accuracy: 0.946, Loss: 0.148\n", "Epoch 20 Batch 23/78 - Train Accuracy: 0.967, Validation Accuracy: 0.948, Loss: 0.103\n", "Epoch 20 Batch 24/78 - Train Accuracy: 0.945, Validation Accuracy: 0.949, Loss: 0.116\n", "Epoch 20 Batch 25/78 - Train Accuracy: 0.936, Validation Accuracy: 0.943, Loss: 0.129\n", "Epoch 20 Batch 26/78 - Train Accuracy: 0.940, Validation Accuracy: 0.939, Loss: 0.146\n", "Epoch 20 Batch 27/78 - Train Accuracy: 0.963, Validation Accuracy: 0.939, Loss: 0.113\n", "Epoch 20 Batch 28/78 - Train Accuracy: 0.941, Validation Accuracy: 0.941, Loss: 0.129\n", "Epoch 20 Batch 29/78 - Train Accuracy: 0.951, Validation Accuracy: 0.943, Loss: 0.110\n", "Epoch 20 Batch 30/78 - Train Accuracy: 0.963, Validation Accuracy: 0.946, Loss: 0.112\n", "Epoch 20 Batch 31/78 - Train Accuracy: 0.962, Validation Accuracy: 0.946, Loss: 0.100\n", "Epoch 20 Batch 32/78 - Train Accuracy: 0.952, Validation Accuracy: 0.942, Loss: 0.121\n", "Epoch 20 Batch 33/78 - Train Accuracy: 0.948, Validation Accuracy: 0.941, Loss: 0.127\n", "Epoch 20 Batch 34/78 - Train Accuracy: 0.945, Validation Accuracy: 0.944, Loss: 0.122\n", "Epoch 20 Batch 35/78 - Train Accuracy: 0.946, Validation Accuracy: 0.944, Loss: 0.122\n", "Epoch 20 Batch 36/78 - Train Accuracy: 0.941, Validation Accuracy: 0.949, Loss: 0.120\n", "Epoch 20 Batch 37/78 - Train Accuracy: 0.945, Validation Accuracy: 0.955, Loss: 0.128\n", "Epoch 20 Batch 38/78 - Train Accuracy: 0.959, Validation Accuracy: 0.952, Loss: 0.098\n", "Epoch 20 Batch 39/78 - Train Accuracy: 0.948, Validation Accuracy: 0.952, Loss: 0.118\n", "Epoch 20 Batch 40/78 - Train Accuracy: 0.958, Validation Accuracy: 0.944, Loss: 0.113\n", "Epoch 20 Batch 41/78 - Train Accuracy: 0.933, Validation Accuracy: 0.943, Loss: 0.130\n", "Epoch 20 Batch 42/78 - Train Accuracy: 0.949, Validation Accuracy: 0.946, Loss: 0.113\n", "Epoch 20 Batch 43/78 - Train Accuracy: 0.945, Validation Accuracy: 0.959, Loss: 0.118\n", "Epoch 20 Batch 44/78 - Train Accuracy: 0.959, Validation Accuracy: 0.956, Loss: 0.100\n", "Epoch 20 Batch 45/78 - Train Accuracy: 0.951, Validation Accuracy: 0.954, Loss: 0.119\n", "Epoch 20 Batch 46/78 - Train Accuracy: 0.933, Validation Accuracy: 0.951, Loss: 0.134\n", "Epoch 20 Batch 47/78 - Train Accuracy: 0.941, Validation Accuracy: 0.945, Loss: 0.123\n", "Epoch 20 Batch 48/78 - Train Accuracy: 0.972, Validation Accuracy: 0.946, Loss: 0.083\n", "Epoch 20 Batch 49/78 - Train Accuracy: 0.926, Validation Accuracy: 0.959, Loss: 0.141\n", "Epoch 20 Batch 50/78 - Train Accuracy: 0.931, Validation Accuracy: 0.954, Loss: 0.138\n", "Epoch 20 Batch 51/78 - Train Accuracy: 0.970, Validation Accuracy: 0.951, Loss: 0.097\n", "Epoch 20 Batch 52/78 - Train Accuracy: 0.960, Validation Accuracy: 0.955, Loss: 0.123\n", "Epoch 20 Batch 53/78 - Train Accuracy: 0.965, Validation Accuracy: 0.949, Loss: 0.102\n", "Epoch 20 Batch 54/78 - Train Accuracy: 0.955, Validation Accuracy: 0.944, Loss: 0.131\n", "Epoch 20 Batch 55/78 - Train Accuracy: 0.941, Validation Accuracy: 0.946, Loss: 0.127\n", "Epoch 20 Batch 56/78 - Train Accuracy: 0.938, Validation Accuracy: 0.941, Loss: 0.123\n", "Epoch 20 Batch 57/78 - Train Accuracy: 0.955, Validation Accuracy: 0.943, Loss: 0.114\n", "Epoch 20 Batch 58/78 - Train Accuracy: 0.930, Validation Accuracy: 0.944, Loss: 0.145\n", "Epoch 20 Batch 59/78 - Train Accuracy: 0.949, Validation Accuracy: 0.944, Loss: 0.120\n", "Epoch 20 Batch 60/78 - Train Accuracy: 0.943, Validation Accuracy: 0.948, Loss: 0.113\n", "Epoch 20 Batch 61/78 - Train Accuracy: 0.963, Validation Accuracy: 0.952, Loss: 0.126\n", "Epoch 20 Batch 62/78 - Train Accuracy: 0.951, Validation Accuracy: 0.949, Loss: 0.105\n", "Epoch 20 Batch 63/78 - Train Accuracy: 0.959, Validation Accuracy: 0.949, Loss: 0.102\n", "Epoch 20 Batch 64/78 - Train Accuracy: 0.943, Validation Accuracy: 0.949, Loss: 0.113\n", "Epoch 20 Batch 65/78 - Train Accuracy: 0.948, Validation Accuracy: 0.950, Loss: 0.108\n", "Epoch 20 Batch 66/78 - Train Accuracy: 0.960, Validation Accuracy: 0.951, Loss: 0.099\n", "Epoch 20 Batch 67/78 - Train Accuracy: 0.964, Validation Accuracy: 0.948, Loss: 0.095\n", "Epoch 20 Batch 68/78 - Train Accuracy: 0.956, Validation Accuracy: 0.948, Loss: 0.113\n", "Epoch 20 Batch 69/78 - Train Accuracy: 0.955, Validation Accuracy: 0.950, Loss: 0.103\n", "Epoch 20 Batch 70/78 - Train Accuracy: 0.958, Validation Accuracy: 0.948, Loss: 0.115\n", "Epoch 20 Batch 71/78 - Train Accuracy: 0.955, Validation Accuracy: 0.950, Loss: 0.107\n", "Epoch 20 Batch 72/78 - Train Accuracy: 0.951, Validation Accuracy: 0.949, Loss: 0.116\n", "Epoch 20 Batch 73/78 - Train Accuracy: 0.954, Validation Accuracy: 0.954, Loss: 0.135\n", "Epoch 20 Batch 74/78 - Train Accuracy: 0.908, Validation Accuracy: 0.946, Loss: 0.124\n", "Epoch 20 Batch 75/78 - Train Accuracy: 0.965, Validation Accuracy: 0.951, Loss: 0.108\n", "Epoch 20 Batch 76/78 - Train Accuracy: 0.951, Validation Accuracy: 0.954, Loss: 0.130\n", "Epoch 21 Batch 0/78 - Train Accuracy: 0.939, Validation Accuracy: 0.941, Loss: 0.115\n", "Epoch 21 Batch 1/78 - Train Accuracy: 0.967, Validation Accuracy: 0.945, Loss: 0.096\n", "Epoch 21 Batch 2/78 - Train Accuracy: 0.950, Validation Accuracy: 0.949, Loss: 0.103\n", "Epoch 21 Batch 3/78 - Train Accuracy: 0.940, Validation Accuracy: 0.948, Loss: 0.109\n", "Epoch 21 Batch 4/78 - Train Accuracy: 0.967, Validation Accuracy: 0.944, Loss: 0.111\n", "Epoch 21 Batch 5/78 - Train Accuracy: 0.954, Validation Accuracy: 0.946, Loss: 0.106\n", "Epoch 21 Batch 6/78 - Train Accuracy: 0.941, Validation Accuracy: 0.941, Loss: 0.101\n", "Epoch 21 Batch 7/78 - Train Accuracy: 0.951, Validation Accuracy: 0.951, Loss: 0.110\n", "Epoch 21 Batch 8/78 - Train Accuracy: 0.956, Validation Accuracy: 0.944, Loss: 0.113\n", "Epoch 21 Batch 9/78 - Train Accuracy: 0.963, Validation Accuracy: 0.949, Loss: 0.101\n", "Epoch 21 Batch 10/78 - Train Accuracy: 0.925, Validation Accuracy: 0.948, Loss: 0.127\n", "Epoch 21 Batch 11/78 - Train Accuracy: 0.935, Validation Accuracy: 0.951, Loss: 0.125\n", "Epoch 21 Batch 12/78 - Train Accuracy: 0.940, Validation Accuracy: 0.945, Loss: 0.120\n", "Epoch 21 Batch 13/78 - Train Accuracy: 0.950, Validation Accuracy: 0.942, Loss: 0.108\n", "Epoch 21 Batch 14/78 - Train Accuracy: 0.969, Validation Accuracy: 0.946, Loss: 0.087\n", "Epoch 21 Batch 15/78 - Train Accuracy: 0.948, Validation Accuracy: 0.950, Loss: 0.122\n", "Epoch 21 Batch 16/78 - Train Accuracy: 0.960, Validation Accuracy: 0.952, Loss: 0.103\n", "Epoch 21 Batch 17/78 - Train Accuracy: 0.952, Validation Accuracy: 0.955, Loss: 0.092\n", "Epoch 21 Batch 18/78 - Train Accuracy: 0.952, Validation Accuracy: 0.951, Loss: 0.117\n", "Epoch 21 Batch 19/78 - Train Accuracy: 0.958, Validation Accuracy: 0.944, Loss: 0.110\n", "Epoch 21 Batch 20/78 - Train Accuracy: 0.953, Validation Accuracy: 0.939, Loss: 0.089\n", "Epoch 21 Batch 21/78 - Train Accuracy: 0.955, Validation Accuracy: 0.940, Loss: 0.109\n", "Epoch 21 Batch 22/78 - Train Accuracy: 0.942, Validation Accuracy: 0.953, Loss: 0.134\n", "Epoch 21 Batch 23/78 - Train Accuracy: 0.978, Validation Accuracy: 0.956, Loss: 0.091\n", "Epoch 21 Batch 24/78 - Train Accuracy: 0.953, Validation Accuracy: 0.955, Loss: 0.104\n", "Epoch 21 Batch 25/78 - Train Accuracy: 0.939, Validation Accuracy: 0.952, Loss: 0.117\n", "Epoch 21 Batch 26/78 - Train Accuracy: 0.948, Validation Accuracy: 0.944, Loss: 0.133\n", "Epoch 21 Batch 27/78 - Train Accuracy: 0.960, Validation Accuracy: 0.944, Loss: 0.101\n", "Epoch 21 Batch 28/78 - Train Accuracy: 0.958, Validation Accuracy: 0.948, Loss: 0.116\n", "Epoch 21 Batch 29/78 - Train Accuracy: 0.956, Validation Accuracy: 0.950, Loss: 0.098\n", "Epoch 21 Batch 30/78 - Train Accuracy: 0.970, Validation Accuracy: 0.951, Loss: 0.100\n", "Epoch 21 Batch 31/78 - Train Accuracy: 0.970, Validation Accuracy: 0.954, Loss: 0.090\n", "Epoch 21 Batch 32/78 - Train Accuracy: 0.954, Validation Accuracy: 0.951, Loss: 0.109\n", "Epoch 21 Batch 33/78 - Train Accuracy: 0.950, Validation Accuracy: 0.946, Loss: 0.114\n", "Epoch 21 Batch 34/78 - Train Accuracy: 0.951, Validation Accuracy: 0.949, Loss: 0.110\n", "Epoch 21 Batch 35/78 - Train Accuracy: 0.951, Validation Accuracy: 0.953, Loss: 0.109\n", "Epoch 21 Batch 36/78 - Train Accuracy: 0.949, Validation Accuracy: 0.956, Loss: 0.108\n", "Epoch 21 Batch 37/78 - Train Accuracy: 0.945, Validation Accuracy: 0.956, Loss: 0.116\n", "Epoch 21 Batch 38/78 - Train Accuracy: 0.967, Validation Accuracy: 0.960, Loss: 0.087\n", "Epoch 21 Batch 39/78 - Train Accuracy: 0.948, Validation Accuracy: 0.954, Loss: 0.105\n", "Epoch 21 Batch 40/78 - Train Accuracy: 0.955, Validation Accuracy: 0.950, Loss: 0.103\n", "Epoch 21 Batch 41/78 - Train Accuracy: 0.940, Validation Accuracy: 0.948, Loss: 0.118\n", "Epoch 21 Batch 42/78 - Train Accuracy: 0.948, Validation Accuracy: 0.948, Loss: 0.104\n", "Epoch 21 Batch 43/78 - Train Accuracy: 0.958, Validation Accuracy: 0.959, Loss: 0.109\n", "Epoch 21 Batch 44/78 - Train Accuracy: 0.961, Validation Accuracy: 0.964, Loss: 0.091\n", "Epoch 21 Batch 45/78 - Train Accuracy: 0.956, Validation Accuracy: 0.960, Loss: 0.109\n", "Epoch 21 Batch 46/78 - Train Accuracy: 0.934, Validation Accuracy: 0.956, Loss: 0.122\n", "Epoch 21 Batch 47/78 - Train Accuracy: 0.942, Validation Accuracy: 0.949, Loss: 0.114\n", "Epoch 21 Batch 48/78 - Train Accuracy: 0.973, Validation Accuracy: 0.945, Loss: 0.074\n", "Epoch 21 Batch 49/78 - Train Accuracy: 0.934, Validation Accuracy: 0.960, Loss: 0.133\n", "Epoch 21 Batch 50/78 - Train Accuracy: 0.931, Validation Accuracy: 0.955, Loss: 0.125\n", "Epoch 21 Batch 51/78 - Train Accuracy: 0.970, Validation Accuracy: 0.953, Loss: 0.087\n", "Epoch 21 Batch 52/78 - Train Accuracy: 0.960, Validation Accuracy: 0.955, Loss: 0.120\n", "Epoch 21 Batch 53/78 - Train Accuracy: 0.965, Validation Accuracy: 0.948, Loss: 0.094\n", "Epoch 21 Batch 54/78 - Train Accuracy: 0.952, Validation Accuracy: 0.938, Loss: 0.117\n", "Epoch 21 Batch 55/78 - Train Accuracy: 0.940, Validation Accuracy: 0.944, Loss: 0.118\n", "Epoch 21 Batch 56/78 - Train Accuracy: 0.950, Validation Accuracy: 0.945, Loss: 0.113\n", "Epoch 21 Batch 57/78 - Train Accuracy: 0.960, Validation Accuracy: 0.945, Loss: 0.102\n", "Epoch 21 Batch 58/78 - Train Accuracy: 0.931, Validation Accuracy: 0.949, Loss: 0.138\n", "Epoch 21 Batch 59/78 - Train Accuracy: 0.949, Validation Accuracy: 0.948, Loss: 0.108\n", "Epoch 21 Batch 60/78 - Train Accuracy: 0.945, Validation Accuracy: 0.948, Loss: 0.104\n", "Epoch 21 Batch 61/78 - Train Accuracy: 0.964, Validation Accuracy: 0.950, Loss: 0.116\n", "Epoch 21 Batch 62/78 - Train Accuracy: 0.954, Validation Accuracy: 0.948, Loss: 0.094\n", "Epoch 21 Batch 63/78 - Train Accuracy: 0.958, Validation Accuracy: 0.950, Loss: 0.090\n", "Epoch 21 Batch 64/78 - Train Accuracy: 0.946, Validation Accuracy: 0.950, Loss: 0.103\n", "Epoch 21 Batch 65/78 - Train Accuracy: 0.954, Validation Accuracy: 0.949, Loss: 0.097\n", "Epoch 21 Batch 66/78 - Train Accuracy: 0.955, Validation Accuracy: 0.950, Loss: 0.089\n", "Epoch 21 Batch 67/78 - Train Accuracy: 0.967, Validation Accuracy: 0.952, Loss: 0.086\n", "Epoch 21 Batch 68/78 - Train Accuracy: 0.963, Validation Accuracy: 0.950, Loss: 0.104\n", "Epoch 21 Batch 69/78 - Train Accuracy: 0.959, Validation Accuracy: 0.954, Loss: 0.091\n", "Epoch 21 Batch 70/78 - Train Accuracy: 0.962, Validation Accuracy: 0.954, Loss: 0.105\n", "Epoch 21 Batch 71/78 - Train Accuracy: 0.967, Validation Accuracy: 0.950, Loss: 0.097\n", "Epoch 21 Batch 72/78 - Train Accuracy: 0.953, Validation Accuracy: 0.953, Loss: 0.104\n", "Epoch 21 Batch 73/78 - Train Accuracy: 0.962, Validation Accuracy: 0.953, Loss: 0.122\n", "Epoch 21 Batch 74/78 - Train Accuracy: 0.922, Validation Accuracy: 0.955, Loss: 0.112\n", "Epoch 21 Batch 75/78 - Train Accuracy: 0.973, Validation Accuracy: 0.954, Loss: 0.096\n", "Epoch 21 Batch 76/78 - Train Accuracy: 0.958, Validation Accuracy: 0.956, Loss: 0.120\n", "Epoch 22 Batch 0/78 - Train Accuracy: 0.952, Validation Accuracy: 0.950, Loss: 0.102\n", "Epoch 22 Batch 1/78 - Train Accuracy: 0.981, Validation Accuracy: 0.948, Loss: 0.083\n", "Epoch 22 Batch 2/78 - Train Accuracy: 0.955, Validation Accuracy: 0.952, Loss: 0.094\n", "Epoch 22 Batch 3/78 - Train Accuracy: 0.958, Validation Accuracy: 0.954, Loss: 0.099\n", "Epoch 22 Batch 4/78 - Train Accuracy: 0.964, Validation Accuracy: 0.950, Loss: 0.097\n", "Epoch 22 Batch 5/78 - Train Accuracy: 0.962, Validation Accuracy: 0.951, Loss: 0.100\n", "Epoch 22 Batch 6/78 - Train Accuracy: 0.952, Validation Accuracy: 0.950, Loss: 0.090\n", "Epoch 22 Batch 7/78 - Train Accuracy: 0.955, Validation Accuracy: 0.954, Loss: 0.096\n", "Epoch 22 Batch 8/78 - Train Accuracy: 0.964, Validation Accuracy: 0.956, Loss: 0.105\n", "Epoch 22 Batch 9/78 - Train Accuracy: 0.963, Validation Accuracy: 0.952, Loss: 0.089\n", "Epoch 22 Batch 10/78 - Train Accuracy: 0.925, Validation Accuracy: 0.951, Loss: 0.115\n", "Epoch 22 Batch 11/78 - Train Accuracy: 0.950, Validation Accuracy: 0.953, Loss: 0.115\n", "Epoch 22 Batch 12/78 - Train Accuracy: 0.945, Validation Accuracy: 0.955, Loss: 0.104\n", "Epoch 22 Batch 13/78 - Train Accuracy: 0.967, Validation Accuracy: 0.949, Loss: 0.098\n", "Epoch 22 Batch 14/78 - Train Accuracy: 0.972, Validation Accuracy: 0.945, Loss: 0.078\n", "Epoch 22 Batch 15/78 - Train Accuracy: 0.956, Validation Accuracy: 0.945, Loss: 0.109\n", "Epoch 22 Batch 16/78 - Train Accuracy: 0.965, Validation Accuracy: 0.955, Loss: 0.094\n", "Epoch 22 Batch 17/78 - Train Accuracy: 0.961, Validation Accuracy: 0.951, Loss: 0.084\n", "Epoch 22 Batch 18/78 - Train Accuracy: 0.956, Validation Accuracy: 0.959, Loss: 0.108\n", "Epoch 22 Batch 19/78 - Train Accuracy: 0.962, Validation Accuracy: 0.959, Loss: 0.099\n", "Epoch 22 Batch 20/78 - Train Accuracy: 0.962, Validation Accuracy: 0.945, Loss: 0.083\n", "Epoch 22 Batch 21/78 - Train Accuracy: 0.963, Validation Accuracy: 0.949, Loss: 0.101\n", "Epoch 22 Batch 22/78 - Train Accuracy: 0.942, Validation Accuracy: 0.954, Loss: 0.121\n", "Epoch 22 Batch 23/78 - Train Accuracy: 0.979, Validation Accuracy: 0.958, Loss: 0.083\n", "Epoch 22 Batch 24/78 - Train Accuracy: 0.961, Validation Accuracy: 0.958, Loss: 0.097\n", "Epoch 22 Batch 25/78 - Train Accuracy: 0.948, Validation Accuracy: 0.962, Loss: 0.105\n", "Epoch 22 Batch 26/78 - Train Accuracy: 0.954, Validation Accuracy: 0.954, Loss: 0.120\n", "Epoch 22 Batch 27/78 - Train Accuracy: 0.960, Validation Accuracy: 0.953, Loss: 0.094\n", "Epoch 22 Batch 28/78 - Train Accuracy: 0.959, Validation Accuracy: 0.951, Loss: 0.104\n", "Epoch 22 Batch 29/78 - Train Accuracy: 0.958, Validation Accuracy: 0.946, Loss: 0.089\n", "Epoch 22 Batch 30/78 - Train Accuracy: 0.969, Validation Accuracy: 0.949, Loss: 0.093\n", "Epoch 22 Batch 31/78 - Train Accuracy: 0.972, Validation Accuracy: 0.950, Loss: 0.080\n", "Epoch 22 Batch 32/78 - Train Accuracy: 0.954, Validation Accuracy: 0.959, Loss: 0.100\n", "Epoch 22 Batch 33/78 - Train Accuracy: 0.952, Validation Accuracy: 0.955, Loss: 0.105\n", "Epoch 22 Batch 34/78 - Train Accuracy: 0.959, Validation Accuracy: 0.949, Loss: 0.099\n", "Epoch 22 Batch 35/78 - Train Accuracy: 0.954, Validation Accuracy: 0.954, Loss: 0.100\n", "Epoch 22 Batch 36/78 - Train Accuracy: 0.951, Validation Accuracy: 0.958, Loss: 0.098\n", "Epoch 22 Batch 37/78 - Train Accuracy: 0.959, Validation Accuracy: 0.960, Loss: 0.104\n", "Epoch 22 Batch 38/78 - Train Accuracy: 0.974, Validation Accuracy: 0.962, Loss: 0.080\n", "Epoch 22 Batch 39/78 - Train Accuracy: 0.952, Validation Accuracy: 0.961, Loss: 0.094\n", "Epoch 22 Batch 40/78 - Train Accuracy: 0.965, Validation Accuracy: 0.954, Loss: 0.090\n", "Epoch 22 Batch 41/78 - Train Accuracy: 0.946, Validation Accuracy: 0.953, Loss: 0.111\n", "Epoch 22 Batch 42/78 - Train Accuracy: 0.948, Validation Accuracy: 0.950, Loss: 0.096\n", "Epoch 22 Batch 43/78 - Train Accuracy: 0.962, Validation Accuracy: 0.951, Loss: 0.099\n", "Epoch 22 Batch 44/78 - Train Accuracy: 0.955, Validation Accuracy: 0.960, Loss: 0.086\n", "Epoch 22 Batch 45/78 - Train Accuracy: 0.961, Validation Accuracy: 0.962, Loss: 0.100\n", "Epoch 22 Batch 46/78 - Train Accuracy: 0.941, Validation Accuracy: 0.951, Loss: 0.111\n", "Epoch 22 Batch 47/78 - Train Accuracy: 0.942, Validation Accuracy: 0.948, Loss: 0.114\n", "Epoch 22 Batch 48/78 - Train Accuracy: 0.978, Validation Accuracy: 0.945, Loss: 0.067\n", "Epoch 22 Batch 49/78 - Train Accuracy: 0.939, Validation Accuracy: 0.949, Loss: 0.121\n", "Epoch 22 Batch 50/78 - Train Accuracy: 0.939, Validation Accuracy: 0.959, Loss: 0.123\n", "Epoch 22 Batch 51/78 - Train Accuracy: 0.968, Validation Accuracy: 0.956, Loss: 0.077\n", "Epoch 22 Batch 52/78 - Train Accuracy: 0.971, Validation Accuracy: 0.955, Loss: 0.118\n", "Epoch 22 Batch 53/78 - Train Accuracy: 0.972, Validation Accuracy: 0.952, Loss: 0.093\n", "Epoch 22 Batch 54/78 - Train Accuracy: 0.943, Validation Accuracy: 0.935, Loss: 0.104\n", "Epoch 22 Batch 55/78 - Train Accuracy: 0.936, Validation Accuracy: 0.939, Loss: 0.112\n", "Epoch 22 Batch 56/78 - Train Accuracy: 0.952, Validation Accuracy: 0.950, Loss: 0.114\n", "Epoch 22 Batch 57/78 - Train Accuracy: 0.955, Validation Accuracy: 0.944, Loss: 0.096\n", "Epoch 22 Batch 58/78 - Train Accuracy: 0.938, Validation Accuracy: 0.951, Loss: 0.132\n", "Epoch 22 Batch 59/78 - Train Accuracy: 0.958, Validation Accuracy: 0.950, Loss: 0.107\n", "Epoch 22 Batch 60/78 - Train Accuracy: 0.952, Validation Accuracy: 0.944, Loss: 0.094\n", "Epoch 22 Batch 61/78 - Train Accuracy: 0.968, Validation Accuracy: 0.942, Loss: 0.113\n", "Epoch 22 Batch 62/78 - Train Accuracy: 0.963, Validation Accuracy: 0.952, Loss: 0.090\n", "Epoch 22 Batch 63/78 - Train Accuracy: 0.963, Validation Accuracy: 0.948, Loss: 0.084\n", "Epoch 22 Batch 64/78 - Train Accuracy: 0.951, Validation Accuracy: 0.949, Loss: 0.099\n", "Epoch 22 Batch 65/78 - Train Accuracy: 0.960, Validation Accuracy: 0.950, Loss: 0.096\n", "Epoch 22 Batch 66/78 - Train Accuracy: 0.954, Validation Accuracy: 0.953, Loss: 0.084\n", "Epoch 22 Batch 67/78 - Train Accuracy: 0.964, Validation Accuracy: 0.951, Loss: 0.079\n", "Epoch 22 Batch 68/78 - Train Accuracy: 0.965, Validation Accuracy: 0.954, Loss: 0.104\n", "Epoch 22 Batch 69/78 - Train Accuracy: 0.970, Validation Accuracy: 0.956, Loss: 0.083\n", "Epoch 22 Batch 70/78 - Train Accuracy: 0.963, Validation Accuracy: 0.952, Loss: 0.094\n", "Epoch 22 Batch 71/78 - Train Accuracy: 0.967, Validation Accuracy: 0.952, Loss: 0.091\n", "Epoch 22 Batch 72/78 - Train Accuracy: 0.950, Validation Accuracy: 0.953, Loss: 0.097\n", "Epoch 22 Batch 73/78 - Train Accuracy: 0.962, Validation Accuracy: 0.952, Loss: 0.113\n", "Epoch 22 Batch 74/78 - Train Accuracy: 0.929, Validation Accuracy: 0.951, Loss: 0.106\n", "Epoch 22 Batch 75/78 - Train Accuracy: 0.980, Validation Accuracy: 0.958, Loss: 0.088\n", "Epoch 22 Batch 76/78 - Train Accuracy: 0.955, Validation Accuracy: 0.953, Loss: 0.104\n", "Epoch 23 Batch 0/78 - Train Accuracy: 0.960, Validation Accuracy: 0.952, Loss: 0.095\n", "Epoch 23 Batch 1/78 - Train Accuracy: 0.987, Validation Accuracy: 0.953, Loss: 0.077\n", "Epoch 23 Batch 2/78 - Train Accuracy: 0.948, Validation Accuracy: 0.954, Loss: 0.083\n", "Epoch 23 Batch 3/78 - Train Accuracy: 0.960, Validation Accuracy: 0.952, Loss: 0.092\n", "Epoch 23 Batch 4/78 - Train Accuracy: 0.965, Validation Accuracy: 0.952, Loss: 0.086\n", "Epoch 23 Batch 5/78 - Train Accuracy: 0.959, Validation Accuracy: 0.950, Loss: 0.089\n", "Epoch 23 Batch 6/78 - Train Accuracy: 0.961, Validation Accuracy: 0.952, Loss: 0.084\n", "Epoch 23 Batch 7/78 - Train Accuracy: 0.963, Validation Accuracy: 0.956, Loss: 0.087\n", "Epoch 23 Batch 8/78 - Train Accuracy: 0.962, Validation Accuracy: 0.955, Loss: 0.093\n", "Epoch 23 Batch 9/78 - Train Accuracy: 0.963, Validation Accuracy: 0.954, Loss: 0.081\n", "Epoch 23 Batch 10/78 - Train Accuracy: 0.932, Validation Accuracy: 0.954, Loss: 0.102\n", "Epoch 23 Batch 11/78 - Train Accuracy: 0.950, Validation Accuracy: 0.955, Loss: 0.102\n", "Epoch 23 Batch 12/78 - Train Accuracy: 0.955, Validation Accuracy: 0.955, Loss: 0.093\n", "Epoch 23 Batch 13/78 - Train Accuracy: 0.961, Validation Accuracy: 0.962, Loss: 0.090\n", "Epoch 23 Batch 14/78 - Train Accuracy: 0.970, Validation Accuracy: 0.958, Loss: 0.071\n", "Epoch 23 Batch 15/78 - Train Accuracy: 0.958, Validation Accuracy: 0.956, Loss: 0.100\n", "Epoch 23 Batch 16/78 - Train Accuracy: 0.965, Validation Accuracy: 0.955, Loss: 0.084\n", "Epoch 23 Batch 17/78 - Train Accuracy: 0.974, Validation Accuracy: 0.952, Loss: 0.076\n", "Epoch 23 Batch 18/78 - Train Accuracy: 0.946, Validation Accuracy: 0.949, Loss: 0.099\n", "Epoch 23 Batch 19/78 - Train Accuracy: 0.969, Validation Accuracy: 0.953, Loss: 0.088\n", "Epoch 23 Batch 20/78 - Train Accuracy: 0.967, Validation Accuracy: 0.958, Loss: 0.076\n", "Epoch 23 Batch 21/78 - Train Accuracy: 0.970, Validation Accuracy: 0.956, Loss: 0.087\n", "Epoch 23 Batch 22/78 - Train Accuracy: 0.943, Validation Accuracy: 0.958, Loss: 0.111\n", "Epoch 23 Batch 23/78 - Train Accuracy: 0.983, Validation Accuracy: 0.960, Loss: 0.072\n", "Epoch 23 Batch 24/78 - Train Accuracy: 0.969, Validation Accuracy: 0.959, Loss: 0.086\n", "Epoch 23 Batch 25/78 - Train Accuracy: 0.958, Validation Accuracy: 0.956, Loss: 0.097\n", "Epoch 23 Batch 26/78 - Train Accuracy: 0.954, Validation Accuracy: 0.961, Loss: 0.105\n", "Epoch 23 Batch 27/78 - Train Accuracy: 0.962, Validation Accuracy: 0.964, Loss: 0.082\n", "Epoch 23 Batch 28/78 - Train Accuracy: 0.967, Validation Accuracy: 0.958, Loss: 0.096\n", "Epoch 23 Batch 29/78 - Train Accuracy: 0.963, Validation Accuracy: 0.953, Loss: 0.080\n", "Epoch 23 Batch 30/78 - Train Accuracy: 0.978, Validation Accuracy: 0.953, Loss: 0.081\n", "Epoch 23 Batch 31/78 - Train Accuracy: 0.980, Validation Accuracy: 0.952, Loss: 0.071\n", "Epoch 23 Batch 32/78 - Train Accuracy: 0.963, Validation Accuracy: 0.952, Loss: 0.088\n", "Epoch 23 Batch 33/78 - Train Accuracy: 0.955, Validation Accuracy: 0.955, Loss: 0.096\n", "Epoch 23 Batch 34/78 - Train Accuracy: 0.963, Validation Accuracy: 0.955, Loss: 0.087\n", "Epoch 23 Batch 35/78 - Train Accuracy: 0.961, Validation Accuracy: 0.952, Loss: 0.087\n", "Epoch 23 Batch 36/78 - Train Accuracy: 0.955, Validation Accuracy: 0.955, Loss: 0.088\n", "Epoch 23 Batch 37/78 - Train Accuracy: 0.962, Validation Accuracy: 0.963, Loss: 0.096\n", "Epoch 23 Batch 38/78 - Train Accuracy: 0.974, Validation Accuracy: 0.964, Loss: 0.070\n", "Epoch 23 Batch 39/78 - Train Accuracy: 0.954, Validation Accuracy: 0.955, Loss: 0.085\n", "Epoch 23 Batch 40/78 - Train Accuracy: 0.972, Validation Accuracy: 0.960, Loss: 0.085\n", "Epoch 23 Batch 41/78 - Train Accuracy: 0.956, Validation Accuracy: 0.955, Loss: 0.099\n", "Epoch 23 Batch 42/78 - Train Accuracy: 0.950, Validation Accuracy: 0.952, Loss: 0.086\n", "Epoch 23 Batch 43/78 - Train Accuracy: 0.960, Validation Accuracy: 0.955, Loss: 0.093\n", "Epoch 23 Batch 44/78 - Train Accuracy: 0.969, Validation Accuracy: 0.963, Loss: 0.079\n", "Epoch 23 Batch 45/78 - Train Accuracy: 0.969, Validation Accuracy: 0.967, Loss: 0.089\n", "Epoch 23 Batch 46/78 - Train Accuracy: 0.949, Validation Accuracy: 0.955, Loss: 0.100\n", "Epoch 23 Batch 47/78 - Train Accuracy: 0.940, Validation Accuracy: 0.953, Loss: 0.108\n", "Epoch 23 Batch 48/78 - Train Accuracy: 0.987, Validation Accuracy: 0.946, Loss: 0.059\n", "Epoch 23 Batch 49/78 - Train Accuracy: 0.948, Validation Accuracy: 0.948, Loss: 0.108\n", "Epoch 23 Batch 50/78 - Train Accuracy: 0.946, Validation Accuracy: 0.961, Loss: 0.116\n", "Epoch 23 Batch 51/78 - Train Accuracy: 0.977, Validation Accuracy: 0.952, Loss: 0.069\n", "Epoch 23 Batch 52/78 - Train Accuracy: 0.967, Validation Accuracy: 0.952, Loss: 0.096\n", "Epoch 23 Batch 53/78 - Train Accuracy: 0.980, Validation Accuracy: 0.954, Loss: 0.087\n", "Epoch 23 Batch 54/78 - Train Accuracy: 0.953, Validation Accuracy: 0.952, Loss: 0.095\n", "Epoch 23 Batch 55/78 - Train Accuracy: 0.935, Validation Accuracy: 0.940, Loss: 0.097\n", "Epoch 23 Batch 56/78 - Train Accuracy: 0.959, Validation Accuracy: 0.942, Loss: 0.105\n", "Epoch 23 Batch 57/78 - Train Accuracy: 0.959, Validation Accuracy: 0.952, Loss: 0.095\n", "Epoch 23 Batch 58/78 - Train Accuracy: 0.936, Validation Accuracy: 0.945, Loss: 0.113\n", "Epoch 23 Batch 59/78 - Train Accuracy: 0.965, Validation Accuracy: 0.958, Loss: 0.099\n", "Epoch 23 Batch 60/78 - Train Accuracy: 0.961, Validation Accuracy: 0.952, Loss: 0.088\n", "Epoch 23 Batch 61/78 - Train Accuracy: 0.967, Validation Accuracy: 0.956, Loss: 0.099\n", "Epoch 23 Batch 62/78 - Train Accuracy: 0.974, Validation Accuracy: 0.948, Loss: 0.082\n", "Epoch 23 Batch 63/78 - Train Accuracy: 0.967, Validation Accuracy: 0.951, Loss: 0.081\n", "Epoch 23 Batch 64/78 - Train Accuracy: 0.949, Validation Accuracy: 0.951, Loss: 0.088\n", "Epoch 23 Batch 65/78 - Train Accuracy: 0.956, Validation Accuracy: 0.956, Loss: 0.087\n", "Epoch 23 Batch 66/78 - Train Accuracy: 0.958, Validation Accuracy: 0.952, Loss: 0.075\n", "Epoch 23 Batch 67/78 - Train Accuracy: 0.975, Validation Accuracy: 0.950, Loss: 0.071\n", "Epoch 23 Batch 68/78 - Train Accuracy: 0.964, Validation Accuracy: 0.956, Loss: 0.087\n", "Epoch 23 Batch 69/78 - Train Accuracy: 0.980, Validation Accuracy: 0.955, Loss: 0.075\n", "Epoch 23 Batch 70/78 - Train Accuracy: 0.961, Validation Accuracy: 0.953, Loss: 0.094\n", "Epoch 23 Batch 71/78 - Train Accuracy: 0.967, Validation Accuracy: 0.956, Loss: 0.081\n", "Epoch 23 Batch 72/78 - Train Accuracy: 0.950, Validation Accuracy: 0.951, Loss: 0.084\n", "Epoch 23 Batch 73/78 - Train Accuracy: 0.961, Validation Accuracy: 0.951, Loss: 0.108\n", "Epoch 23 Batch 74/78 - Train Accuracy: 0.931, Validation Accuracy: 0.961, Loss: 0.097\n", "Epoch 23 Batch 75/78 - Train Accuracy: 0.985, Validation Accuracy: 0.964, Loss: 0.085\n", "Epoch 23 Batch 76/78 - Train Accuracy: 0.963, Validation Accuracy: 0.961, Loss: 0.095\n", "Epoch 24 Batch 0/78 - Train Accuracy: 0.959, Validation Accuracy: 0.955, Loss: 0.088\n", "Epoch 24 Batch 1/78 - Train Accuracy: 0.987, Validation Accuracy: 0.952, Loss: 0.068\n", "Epoch 24 Batch 2/78 - Train Accuracy: 0.960, Validation Accuracy: 0.949, Loss: 0.077\n", "Epoch 24 Batch 3/78 - Train Accuracy: 0.958, Validation Accuracy: 0.955, Loss: 0.085\n", "Epoch 24 Batch 4/78 - Train Accuracy: 0.965, Validation Accuracy: 0.962, Loss: 0.082\n", "Epoch 24 Batch 5/78 - Train Accuracy: 0.958, Validation Accuracy: 0.956, Loss: 0.081\n", "Epoch 24 Batch 6/78 - Train Accuracy: 0.967, Validation Accuracy: 0.951, Loss: 0.075\n", "Epoch 24 Batch 7/78 - Train Accuracy: 0.968, Validation Accuracy: 0.953, Loss: 0.079\n", "Epoch 24 Batch 8/78 - Train Accuracy: 0.971, Validation Accuracy: 0.956, Loss: 0.083\n", "Epoch 24 Batch 9/78 - Train Accuracy: 0.972, Validation Accuracy: 0.958, Loss: 0.074\n", "Epoch 24 Batch 10/78 - Train Accuracy: 0.943, Validation Accuracy: 0.958, Loss: 0.096\n", "Epoch 24 Batch 11/78 - Train Accuracy: 0.951, Validation Accuracy: 0.956, Loss: 0.095\n", "Epoch 24 Batch 12/78 - Train Accuracy: 0.960, Validation Accuracy: 0.962, Loss: 0.087\n", "Epoch 24 Batch 13/78 - Train Accuracy: 0.967, Validation Accuracy: 0.960, Loss: 0.079\n", "Epoch 24 Batch 14/78 - Train Accuracy: 0.980, Validation Accuracy: 0.955, Loss: 0.066\n", "Epoch 24 Batch 15/78 - Train Accuracy: 0.960, Validation Accuracy: 0.952, Loss: 0.091\n", "Epoch 24 Batch 16/78 - Train Accuracy: 0.972, Validation Accuracy: 0.960, Loss: 0.078\n", "Epoch 24 Batch 17/78 - Train Accuracy: 0.971, Validation Accuracy: 0.961, Loss: 0.069\n", "Epoch 24 Batch 18/78 - Train Accuracy: 0.955, Validation Accuracy: 0.952, Loss: 0.088\n", "Epoch 24 Batch 19/78 - Train Accuracy: 0.967, Validation Accuracy: 0.959, Loss: 0.081\n", "Epoch 24 Batch 20/78 - Train Accuracy: 0.974, Validation Accuracy: 0.962, Loss: 0.069\n", "Epoch 24 Batch 21/78 - Train Accuracy: 0.973, Validation Accuracy: 0.961, Loss: 0.078\n", "Epoch 24 Batch 22/78 - Train Accuracy: 0.950, Validation Accuracy: 0.959, Loss: 0.099\n", "Epoch 24 Batch 23/78 - Train Accuracy: 0.983, Validation Accuracy: 0.962, Loss: 0.064\n", "Epoch 24 Batch 24/78 - Train Accuracy: 0.963, Validation Accuracy: 0.960, Loss: 0.078\n", "Epoch 24 Batch 25/78 - Train Accuracy: 0.958, Validation Accuracy: 0.958, Loss: 0.091\n", "Epoch 24 Batch 26/78 - Train Accuracy: 0.956, Validation Accuracy: 0.961, Loss: 0.097\n", "Epoch 24 Batch 27/78 - Train Accuracy: 0.965, Validation Accuracy: 0.962, Loss: 0.074\n", "Epoch 24 Batch 28/78 - Train Accuracy: 0.968, Validation Accuracy: 0.960, Loss: 0.088\n", "Epoch 24 Batch 29/78 - Train Accuracy: 0.965, Validation Accuracy: 0.955, Loss: 0.072\n", "Epoch 24 Batch 30/78 - Train Accuracy: 0.973, Validation Accuracy: 0.955, Loss: 0.074\n", "Epoch 24 Batch 31/78 - Train Accuracy: 0.981, Validation Accuracy: 0.956, Loss: 0.066\n", "Epoch 24 Batch 32/78 - Train Accuracy: 0.975, Validation Accuracy: 0.956, Loss: 0.079\n", "Epoch 24 Batch 33/78 - Train Accuracy: 0.960, Validation Accuracy: 0.955, Loss: 0.086\n", "Epoch 24 Batch 34/78 - Train Accuracy: 0.965, Validation Accuracy: 0.958, Loss: 0.081\n", "Epoch 24 Batch 35/78 - Train Accuracy: 0.961, Validation Accuracy: 0.961, Loss: 0.079\n", "Epoch 24 Batch 36/78 - Train Accuracy: 0.954, Validation Accuracy: 0.961, Loss: 0.078\n", "Epoch 24 Batch 37/78 - Train Accuracy: 0.965, Validation Accuracy: 0.963, Loss: 0.086\n", "Epoch 24 Batch 38/78 - Train Accuracy: 0.981, Validation Accuracy: 0.964, Loss: 0.065\n", "Epoch 24 Batch 39/78 - Train Accuracy: 0.961, Validation Accuracy: 0.958, Loss: 0.076\n", "Epoch 24 Batch 40/78 - Train Accuracy: 0.981, Validation Accuracy: 0.961, Loss: 0.073\n", "Epoch 24 Batch 41/78 - Train Accuracy: 0.967, Validation Accuracy: 0.960, Loss: 0.091\n", "Epoch 24 Batch 42/78 - Train Accuracy: 0.967, Validation Accuracy: 0.955, Loss: 0.077\n", "Epoch 24 Batch 43/78 - Train Accuracy: 0.962, Validation Accuracy: 0.955, Loss: 0.080\n", "Epoch 24 Batch 44/78 - Train Accuracy: 0.973, Validation Accuracy: 0.961, Loss: 0.072\n", "Epoch 24 Batch 45/78 - Train Accuracy: 0.971, Validation Accuracy: 0.965, Loss: 0.087\n", "Epoch 24 Batch 46/78 - Train Accuracy: 0.961, Validation Accuracy: 0.952, Loss: 0.088\n", "Epoch 24 Batch 47/78 - Train Accuracy: 0.950, Validation Accuracy: 0.953, Loss: 0.097\n", "Epoch 24 Batch 48/78 - Train Accuracy: 0.984, Validation Accuracy: 0.955, Loss: 0.056\n", "Epoch 24 Batch 49/78 - Train Accuracy: 0.964, Validation Accuracy: 0.954, Loss: 0.095\n", "Epoch 24 Batch 50/78 - Train Accuracy: 0.956, Validation Accuracy: 0.958, Loss: 0.096\n", "Epoch 24 Batch 51/78 - Train Accuracy: 0.981, Validation Accuracy: 0.956, Loss: 0.066\n", "Epoch 24 Batch 52/78 - Train Accuracy: 0.973, Validation Accuracy: 0.958, Loss: 0.082\n", "Epoch 24 Batch 53/78 - Train Accuracy: 0.975, Validation Accuracy: 0.950, Loss: 0.070\n", "Epoch 24 Batch 54/78 - Train Accuracy: 0.973, Validation Accuracy: 0.958, Loss: 0.083\n", "Epoch 24 Batch 55/78 - Train Accuracy: 0.960, Validation Accuracy: 0.962, Loss: 0.089\n", "Epoch 24 Batch 56/78 - Train Accuracy: 0.956, Validation Accuracy: 0.956, Loss: 0.086\n", "Epoch 24 Batch 57/78 - Train Accuracy: 0.967, Validation Accuracy: 0.954, Loss: 0.079\n", "Epoch 24 Batch 58/78 - Train Accuracy: 0.954, Validation Accuracy: 0.958, Loss: 0.102\n", "Epoch 24 Batch 59/78 - Train Accuracy: 0.974, Validation Accuracy: 0.953, Loss: 0.080\n", "Epoch 24 Batch 60/78 - Train Accuracy: 0.964, Validation Accuracy: 0.954, Loss: 0.078\n", "Epoch 24 Batch 61/78 - Train Accuracy: 0.969, Validation Accuracy: 0.961, Loss: 0.093\n", "Epoch 24 Batch 62/78 - Train Accuracy: 0.979, Validation Accuracy: 0.956, Loss: 0.074\n", "Epoch 24 Batch 63/78 - Train Accuracy: 0.974, Validation Accuracy: 0.954, Loss: 0.075\n", "Epoch 24 Batch 64/78 - Train Accuracy: 0.959, Validation Accuracy: 0.953, Loss: 0.079\n", "Epoch 24 Batch 65/78 - Train Accuracy: 0.970, Validation Accuracy: 0.950, Loss: 0.084\n", "Epoch 24 Batch 66/78 - Train Accuracy: 0.961, Validation Accuracy: 0.951, Loss: 0.070\n", "Epoch 24 Batch 67/78 - Train Accuracy: 0.972, Validation Accuracy: 0.958, Loss: 0.061\n", "Epoch 24 Batch 68/78 - Train Accuracy: 0.979, Validation Accuracy: 0.956, Loss: 0.079\n", "Epoch 24 Batch 69/78 - Train Accuracy: 0.975, Validation Accuracy: 0.952, Loss: 0.074\n", "Epoch 24 Batch 70/78 - Train Accuracy: 0.968, Validation Accuracy: 0.948, Loss: 0.080\n", "Epoch 24 Batch 71/78 - Train Accuracy: 0.971, Validation Accuracy: 0.950, Loss: 0.072\n", "Epoch 24 Batch 72/78 - Train Accuracy: 0.970, Validation Accuracy: 0.949, Loss: 0.080\n", "Epoch 24 Batch 73/78 - Train Accuracy: 0.968, Validation Accuracy: 0.960, Loss: 0.098\n", "Epoch 24 Batch 74/78 - Train Accuracy: 0.941, Validation Accuracy: 0.955, Loss: 0.086\n", "Epoch 24 Batch 75/78 - Train Accuracy: 0.982, Validation Accuracy: 0.951, Loss: 0.077\n", "Epoch 24 Batch 76/78 - Train Accuracy: 0.972, Validation Accuracy: 0.967, Loss: 0.094\n", "Epoch 25 Batch 0/78 - Train Accuracy: 0.967, Validation Accuracy: 0.960, Loss: 0.077\n", "Epoch 25 Batch 1/78 - Train Accuracy: 0.991, Validation Accuracy: 0.949, Loss: 0.059\n", "Epoch 25 Batch 2/78 - Train Accuracy: 0.961, Validation Accuracy: 0.943, Loss: 0.072\n", "Epoch 25 Batch 3/78 - Train Accuracy: 0.960, Validation Accuracy: 0.953, Loss: 0.083\n", "Epoch 25 Batch 4/78 - Train Accuracy: 0.974, Validation Accuracy: 0.958, Loss: 0.073\n", "Epoch 25 Batch 5/78 - Train Accuracy: 0.972, Validation Accuracy: 0.956, Loss: 0.075\n", "Epoch 25 Batch 6/78 - Train Accuracy: 0.973, Validation Accuracy: 0.955, Loss: 0.073\n", "Epoch 25 Batch 7/78 - Train Accuracy: 0.973, Validation Accuracy: 0.959, Loss: 0.069\n", "Epoch 25 Batch 8/78 - Train Accuracy: 0.973, Validation Accuracy: 0.959, Loss: 0.073\n", "Epoch 25 Batch 9/78 - Train Accuracy: 0.982, Validation Accuracy: 0.952, Loss: 0.067\n", "Epoch 25 Batch 10/78 - Train Accuracy: 0.951, Validation Accuracy: 0.955, Loss: 0.091\n", "Epoch 25 Batch 11/78 - Train Accuracy: 0.964, Validation Accuracy: 0.959, Loss: 0.085\n", "Epoch 25 Batch 12/78 - Train Accuracy: 0.964, Validation Accuracy: 0.958, Loss: 0.076\n", "Epoch 25 Batch 13/78 - Train Accuracy: 0.970, Validation Accuracy: 0.961, Loss: 0.074\n", "Epoch 25 Batch 14/78 - Train Accuracy: 0.985, Validation Accuracy: 0.964, Loss: 0.061\n", "Epoch 25 Batch 15/78 - Train Accuracy: 0.964, Validation Accuracy: 0.961, Loss: 0.085\n", "Epoch 25 Batch 16/78 - Train Accuracy: 0.980, Validation Accuracy: 0.952, Loss: 0.068\n", "Epoch 25 Batch 17/78 - Train Accuracy: 0.972, Validation Accuracy: 0.951, Loss: 0.062\n", "Epoch 25 Batch 18/78 - Train Accuracy: 0.951, Validation Accuracy: 0.952, Loss: 0.084\n", "Epoch 25 Batch 19/78 - Train Accuracy: 0.974, Validation Accuracy: 0.961, Loss: 0.071\n", "Epoch 25 Batch 20/78 - Train Accuracy: 0.971, Validation Accuracy: 0.961, Loss: 0.061\n", "Epoch 25 Batch 21/78 - Train Accuracy: 0.973, Validation Accuracy: 0.960, Loss: 0.071\n", "Epoch 25 Batch 22/78 - Train Accuracy: 0.964, Validation Accuracy: 0.962, Loss: 0.092\n", "Epoch 25 Batch 23/78 - Train Accuracy: 0.990, Validation Accuracy: 0.960, Loss: 0.058\n", "Epoch 25 Batch 24/78 - Train Accuracy: 0.969, Validation Accuracy: 0.958, Loss: 0.070\n", "Epoch 25 Batch 25/78 - Train Accuracy: 0.959, Validation Accuracy: 0.959, Loss: 0.083\n", "Epoch 25 Batch 26/78 - Train Accuracy: 0.960, Validation Accuracy: 0.959, Loss: 0.089\n", "Epoch 25 Batch 27/78 - Train Accuracy: 0.967, Validation Accuracy: 0.958, Loss: 0.068\n", "Epoch 25 Batch 28/78 - Train Accuracy: 0.973, Validation Accuracy: 0.962, Loss: 0.081\n", "Epoch 25 Batch 29/78 - Train Accuracy: 0.965, Validation Accuracy: 0.962, Loss: 0.065\n", "Epoch 25 Batch 30/78 - Train Accuracy: 0.978, Validation Accuracy: 0.964, Loss: 0.066\n", "Epoch 25 Batch 31/78 - Train Accuracy: 0.984, Validation Accuracy: 0.964, Loss: 0.060\n", "Epoch 25 Batch 32/78 - Train Accuracy: 0.974, Validation Accuracy: 0.959, Loss: 0.074\n", "Epoch 25 Batch 33/78 - Train Accuracy: 0.965, Validation Accuracy: 0.958, Loss: 0.079\n", "Epoch 25 Batch 34/78 - Train Accuracy: 0.962, Validation Accuracy: 0.955, Loss: 0.073\n", "Epoch 25 Batch 35/78 - Train Accuracy: 0.963, Validation Accuracy: 0.961, Loss: 0.074\n", "Epoch 25 Batch 36/78 - Train Accuracy: 0.956, Validation Accuracy: 0.961, Loss: 0.072\n", "Epoch 25 Batch 37/78 - Train Accuracy: 0.969, Validation Accuracy: 0.962, Loss: 0.075\n", "Epoch 25 Batch 38/78 - Train Accuracy: 0.983, Validation Accuracy: 0.961, Loss: 0.060\n", "Epoch 25 Batch 39/78 - Train Accuracy: 0.964, Validation Accuracy: 0.959, Loss: 0.072\n", "Epoch 25 Batch 40/78 - Train Accuracy: 0.985, Validation Accuracy: 0.959, Loss: 0.065\n", "Epoch 25 Batch 41/78 - Train Accuracy: 0.967, Validation Accuracy: 0.954, Loss: 0.083\n", "Epoch 25 Batch 42/78 - Train Accuracy: 0.968, Validation Accuracy: 0.956, Loss: 0.072\n", "Epoch 25 Batch 43/78 - Train Accuracy: 0.980, Validation Accuracy: 0.953, Loss: 0.074\n", "Epoch 25 Batch 44/78 - Train Accuracy: 0.979, Validation Accuracy: 0.959, Loss: 0.066\n", "Epoch 25 Batch 45/78 - Train Accuracy: 0.964, Validation Accuracy: 0.964, Loss: 0.081\n", "Epoch 25 Batch 46/78 - Train Accuracy: 0.965, Validation Accuracy: 0.961, Loss: 0.081\n", "Epoch 25 Batch 47/78 - Train Accuracy: 0.960, Validation Accuracy: 0.959, Loss: 0.088\n", "Epoch 25 Batch 48/78 - Train Accuracy: 0.989, Validation Accuracy: 0.959, Loss: 0.052\n", "Epoch 25 Batch 49/78 - Train Accuracy: 0.962, Validation Accuracy: 0.959, Loss: 0.088\n", "Epoch 25 Batch 50/78 - Train Accuracy: 0.960, Validation Accuracy: 0.956, Loss: 0.084\n", "Epoch 25 Batch 51/78 - Train Accuracy: 0.983, Validation Accuracy: 0.958, Loss: 0.063\n", "Epoch 25 Batch 52/78 - Train Accuracy: 0.978, Validation Accuracy: 0.955, Loss: 0.075\n", "Epoch 25 Batch 53/78 - Train Accuracy: 0.972, Validation Accuracy: 0.953, Loss: 0.063\n", "Epoch 25 Batch 54/78 - Train Accuracy: 0.977, Validation Accuracy: 0.953, Loss: 0.073\n", "Epoch 25 Batch 55/78 - Train Accuracy: 0.964, Validation Accuracy: 0.961, Loss: 0.085\n", "Epoch 25 Batch 56/78 - Train Accuracy: 0.963, Validation Accuracy: 0.961, Loss: 0.079\n", "Epoch 25 Batch 57/78 - Train Accuracy: 0.971, Validation Accuracy: 0.958, Loss: 0.071\n", "Epoch 25 Batch 58/78 - Train Accuracy: 0.954, Validation Accuracy: 0.958, Loss: 0.094\n", "Epoch 25 Batch 59/78 - Train Accuracy: 0.982, Validation Accuracy: 0.954, Loss: 0.076\n", "Epoch 25 Batch 60/78 - Train Accuracy: 0.974, Validation Accuracy: 0.953, Loss: 0.071\n", "Epoch 25 Batch 61/78 - Train Accuracy: 0.977, Validation Accuracy: 0.959, Loss: 0.082\n", "Epoch 25 Batch 62/78 - Train Accuracy: 0.982, Validation Accuracy: 0.959, Loss: 0.068\n", "Epoch 25 Batch 63/78 - Train Accuracy: 0.981, Validation Accuracy: 0.962, Loss: 0.070\n", "Epoch 25 Batch 64/78 - Train Accuracy: 0.965, Validation Accuracy: 0.954, Loss: 0.068\n", "Epoch 25 Batch 65/78 - Train Accuracy: 0.970, Validation Accuracy: 0.950, Loss: 0.073\n", "Epoch 25 Batch 66/78 - Train Accuracy: 0.970, Validation Accuracy: 0.953, Loss: 0.063\n", "Epoch 25 Batch 67/78 - Train Accuracy: 0.982, Validation Accuracy: 0.955, Loss: 0.058\n", "Epoch 25 Batch 68/78 - Train Accuracy: 0.981, Validation Accuracy: 0.956, Loss: 0.067\n", "Epoch 25 Batch 69/78 - Train Accuracy: 0.977, Validation Accuracy: 0.956, Loss: 0.066\n", "Epoch 25 Batch 70/78 - Train Accuracy: 0.970, Validation Accuracy: 0.953, Loss: 0.078\n", "Epoch 25 Batch 71/78 - Train Accuracy: 0.977, Validation Accuracy: 0.955, Loss: 0.063\n", "Epoch 25 Batch 72/78 - Train Accuracy: 0.975, Validation Accuracy: 0.956, Loss: 0.069\n", "Epoch 25 Batch 73/78 - Train Accuracy: 0.982, Validation Accuracy: 0.958, Loss: 0.092\n", "Epoch 25 Batch 74/78 - Train Accuracy: 0.951, Validation Accuracy: 0.960, Loss: 0.078\n", "Epoch 25 Batch 75/78 - Train Accuracy: 0.984, Validation Accuracy: 0.954, Loss: 0.065\n", "Epoch 25 Batch 76/78 - Train Accuracy: 0.980, Validation Accuracy: 0.956, Loss: 0.082\n", "Epoch 26 Batch 0/78 - Train Accuracy: 0.967, Validation Accuracy: 0.962, Loss: 0.074\n", "Epoch 26 Batch 1/78 - Train Accuracy: 0.994, Validation Accuracy: 0.960, Loss: 0.053\n", "Epoch 26 Batch 2/78 - Train Accuracy: 0.964, Validation Accuracy: 0.954, Loss: 0.063\n", "Epoch 26 Batch 3/78 - Train Accuracy: 0.962, Validation Accuracy: 0.949, Loss: 0.072\n", "Epoch 26 Batch 4/78 - Train Accuracy: 0.975, Validation Accuracy: 0.959, Loss: 0.066\n", "Epoch 26 Batch 5/78 - Train Accuracy: 0.971, Validation Accuracy: 0.959, Loss: 0.069\n", "Epoch 26 Batch 6/78 - Train Accuracy: 0.982, Validation Accuracy: 0.960, Loss: 0.065\n", "Epoch 26 Batch 7/78 - Train Accuracy: 0.981, Validation Accuracy: 0.962, Loss: 0.063\n", "Epoch 26 Batch 8/78 - Train Accuracy: 0.969, Validation Accuracy: 0.960, Loss: 0.067\n", "Epoch 26 Batch 9/78 - Train Accuracy: 0.988, Validation Accuracy: 0.961, Loss: 0.059\n", "Epoch 26 Batch 10/78 - Train Accuracy: 0.954, Validation Accuracy: 0.953, Loss: 0.079\n", "Epoch 26 Batch 11/78 - Train Accuracy: 0.970, Validation Accuracy: 0.954, Loss: 0.078\n", "Epoch 26 Batch 12/78 - Train Accuracy: 0.973, Validation Accuracy: 0.959, Loss: 0.069\n", "Epoch 26 Batch 13/78 - Train Accuracy: 0.974, Validation Accuracy: 0.965, Loss: 0.065\n", "Epoch 26 Batch 14/78 - Train Accuracy: 0.990, Validation Accuracy: 0.964, Loss: 0.052\n", "Epoch 26 Batch 15/78 - Train Accuracy: 0.971, Validation Accuracy: 0.967, Loss: 0.076\n", "Epoch 26 Batch 16/78 - Train Accuracy: 0.981, Validation Accuracy: 0.963, Loss: 0.062\n", "Epoch 26 Batch 17/78 - Train Accuracy: 0.972, Validation Accuracy: 0.955, Loss: 0.057\n", "Epoch 26 Batch 18/78 - Train Accuracy: 0.956, Validation Accuracy: 0.955, Loss: 0.074\n", "Epoch 26 Batch 19/78 - Train Accuracy: 0.974, Validation Accuracy: 0.952, Loss: 0.065\n", "Epoch 26 Batch 20/78 - Train Accuracy: 0.983, Validation Accuracy: 0.951, Loss: 0.056\n", "Epoch 26 Batch 21/78 - Train Accuracy: 0.972, Validation Accuracy: 0.960, Loss: 0.066\n", "Epoch 26 Batch 22/78 - Train Accuracy: 0.967, Validation Accuracy: 0.962, Loss: 0.082\n", "Epoch 26 Batch 23/78 - Train Accuracy: 0.990, Validation Accuracy: 0.960, Loss: 0.053\n", "Epoch 26 Batch 24/78 - Train Accuracy: 0.969, Validation Accuracy: 0.961, Loss: 0.064\n", "Epoch 26 Batch 25/78 - Train Accuracy: 0.963, Validation Accuracy: 0.961, Loss: 0.075\n", "Epoch 26 Batch 26/78 - Train Accuracy: 0.963, Validation Accuracy: 0.965, Loss: 0.082\n", "Epoch 26 Batch 27/78 - Train Accuracy: 0.981, Validation Accuracy: 0.961, Loss: 0.063\n", "Epoch 26 Batch 28/78 - Train Accuracy: 0.977, Validation Accuracy: 0.963, Loss: 0.075\n", "Epoch 26 Batch 29/78 - Train Accuracy: 0.967, Validation Accuracy: 0.962, Loss: 0.059\n", "Epoch 26 Batch 30/78 - Train Accuracy: 0.978, Validation Accuracy: 0.964, Loss: 0.058\n", "Epoch 26 Batch 31/78 - Train Accuracy: 0.984, Validation Accuracy: 0.965, Loss: 0.054\n", "Epoch 26 Batch 32/78 - Train Accuracy: 0.980, Validation Accuracy: 0.962, Loss: 0.069\n", "Epoch 26 Batch 33/78 - Train Accuracy: 0.973, Validation Accuracy: 0.961, Loss: 0.073\n", "Epoch 26 Batch 34/78 - Train Accuracy: 0.968, Validation Accuracy: 0.962, Loss: 0.066\n", "Epoch 26 Batch 35/78 - Train Accuracy: 0.970, Validation Accuracy: 0.963, Loss: 0.068\n", "Epoch 26 Batch 36/78 - Train Accuracy: 0.956, Validation Accuracy: 0.960, Loss: 0.068\n", "Epoch 26 Batch 37/78 - Train Accuracy: 0.979, Validation Accuracy: 0.962, Loss: 0.070\n", "Epoch 26 Batch 38/78 - Train Accuracy: 0.990, Validation Accuracy: 0.964, Loss: 0.053\n", "Epoch 26 Batch 39/78 - Train Accuracy: 0.977, Validation Accuracy: 0.964, Loss: 0.065\n", "Epoch 26 Batch 40/78 - Train Accuracy: 0.985, Validation Accuracy: 0.960, Loss: 0.061\n", "Epoch 26 Batch 41/78 - Train Accuracy: 0.968, Validation Accuracy: 0.959, Loss: 0.074\n", "Epoch 26 Batch 42/78 - Train Accuracy: 0.970, Validation Accuracy: 0.956, Loss: 0.067\n", "Epoch 26 Batch 43/78 - Train Accuracy: 0.981, Validation Accuracy: 0.949, Loss: 0.069\n", "Epoch 26 Batch 44/78 - Train Accuracy: 0.977, Validation Accuracy: 0.959, Loss: 0.060\n", "Epoch 26 Batch 45/78 - Train Accuracy: 0.972, Validation Accuracy: 0.961, Loss: 0.076\n", "Epoch 26 Batch 46/78 - Train Accuracy: 0.974, Validation Accuracy: 0.960, Loss: 0.075\n", "Epoch 26 Batch 47/78 - Train Accuracy: 0.954, Validation Accuracy: 0.958, Loss: 0.079\n", "Epoch 26 Batch 48/78 - Train Accuracy: 0.990, Validation Accuracy: 0.960, Loss: 0.049\n", "Epoch 26 Batch 49/78 - Train Accuracy: 0.970, Validation Accuracy: 0.964, Loss: 0.083\n", "Epoch 26 Batch 50/78 - Train Accuracy: 0.968, Validation Accuracy: 0.959, Loss: 0.074\n", "Epoch 26 Batch 51/78 - Train Accuracy: 0.980, Validation Accuracy: 0.960, Loss: 0.059\n", "Epoch 26 Batch 52/78 - Train Accuracy: 0.973, Validation Accuracy: 0.960, Loss: 0.072\n", "Epoch 26 Batch 53/78 - Train Accuracy: 0.979, Validation Accuracy: 0.960, Loss: 0.059\n", "Epoch 26 Batch 54/78 - Train Accuracy: 0.979, Validation Accuracy: 0.958, Loss: 0.065\n", "Epoch 26 Batch 55/78 - Train Accuracy: 0.964, Validation Accuracy: 0.960, Loss: 0.080\n", "Epoch 26 Batch 56/78 - Train Accuracy: 0.964, Validation Accuracy: 0.964, Loss: 0.075\n", "Epoch 26 Batch 57/78 - Train Accuracy: 0.973, Validation Accuracy: 0.961, Loss: 0.067\n", "Epoch 26 Batch 58/78 - Train Accuracy: 0.960, Validation Accuracy: 0.960, Loss: 0.083\n", "Epoch 26 Batch 59/78 - Train Accuracy: 0.980, Validation Accuracy: 0.955, Loss: 0.071\n", "Epoch 26 Batch 60/78 - Train Accuracy: 0.975, Validation Accuracy: 0.958, Loss: 0.067\n", "Epoch 26 Batch 61/78 - Train Accuracy: 0.977, Validation Accuracy: 0.961, Loss: 0.072\n", "Epoch 26 Batch 62/78 - Train Accuracy: 0.984, Validation Accuracy: 0.963, Loss: 0.060\n", "Epoch 26 Batch 63/78 - Train Accuracy: 0.978, Validation Accuracy: 0.963, Loss: 0.062\n", "Epoch 26 Batch 64/78 - Train Accuracy: 0.974, Validation Accuracy: 0.960, Loss: 0.064\n", "Epoch 26 Batch 65/78 - Train Accuracy: 0.978, Validation Accuracy: 0.951, Loss: 0.063\n", "Epoch 26 Batch 66/78 - Train Accuracy: 0.968, Validation Accuracy: 0.951, Loss: 0.054\n", "Epoch 26 Batch 67/78 - Train Accuracy: 0.984, Validation Accuracy: 0.953, Loss: 0.054\n", "Epoch 26 Batch 68/78 - Train Accuracy: 0.987, Validation Accuracy: 0.953, Loss: 0.063\n", "Epoch 26 Batch 69/78 - Train Accuracy: 0.985, Validation Accuracy: 0.959, Loss: 0.056\n", "Epoch 26 Batch 70/78 - Train Accuracy: 0.970, Validation Accuracy: 0.959, Loss: 0.070\n", "Epoch 26 Batch 71/78 - Train Accuracy: 0.983, Validation Accuracy: 0.956, Loss: 0.059\n", "Epoch 26 Batch 72/78 - Train Accuracy: 0.981, Validation Accuracy: 0.959, Loss: 0.062\n", "Epoch 26 Batch 73/78 - Train Accuracy: 0.981, Validation Accuracy: 0.962, Loss: 0.074\n", "Epoch 26 Batch 74/78 - Train Accuracy: 0.952, Validation Accuracy: 0.965, Loss: 0.073\n", "Epoch 26 Batch 75/78 - Train Accuracy: 0.983, Validation Accuracy: 0.961, Loss: 0.062\n", "Epoch 26 Batch 76/78 - Train Accuracy: 0.977, Validation Accuracy: 0.964, Loss: 0.069\n", "Epoch 27 Batch 0/78 - Train Accuracy: 0.970, Validation Accuracy: 0.961, Loss: 0.065\n", "Epoch 27 Batch 1/78 - Train Accuracy: 0.993, Validation Accuracy: 0.959, Loss: 0.051\n", "Epoch 27 Batch 2/78 - Train Accuracy: 0.964, Validation Accuracy: 0.969, Loss: 0.061\n", "Epoch 27 Batch 3/78 - Train Accuracy: 0.959, Validation Accuracy: 0.968, Loss: 0.062\n", "Epoch 27 Batch 4/78 - Train Accuracy: 0.978, Validation Accuracy: 0.959, Loss: 0.055\n", "Epoch 27 Batch 5/78 - Train Accuracy: 0.968, Validation Accuracy: 0.961, Loss: 0.066\n", "Epoch 27 Batch 6/78 - Train Accuracy: 0.979, Validation Accuracy: 0.970, Loss: 0.062\n", "Epoch 27 Batch 7/78 - Train Accuracy: 0.979, Validation Accuracy: 0.961, Loss: 0.060\n", "Epoch 27 Batch 8/78 - Train Accuracy: 0.977, Validation Accuracy: 0.960, Loss: 0.058\n", "Epoch 27 Batch 9/78 - Train Accuracy: 0.984, Validation Accuracy: 0.954, Loss: 0.058\n", "Epoch 27 Batch 10/78 - Train Accuracy: 0.970, Validation Accuracy: 0.956, Loss: 0.073\n", "Epoch 27 Batch 11/78 - Train Accuracy: 0.975, Validation Accuracy: 0.962, Loss: 0.073\n", "Epoch 27 Batch 12/78 - Train Accuracy: 0.969, Validation Accuracy: 0.964, Loss: 0.068\n", "Epoch 27 Batch 13/78 - Train Accuracy: 0.983, Validation Accuracy: 0.963, Loss: 0.061\n", "Epoch 27 Batch 14/78 - Train Accuracy: 0.989, Validation Accuracy: 0.961, Loss: 0.047\n", "Epoch 27 Batch 15/78 - Train Accuracy: 0.967, Validation Accuracy: 0.956, Loss: 0.070\n", "Epoch 27 Batch 16/78 - Train Accuracy: 0.981, Validation Accuracy: 0.959, Loss: 0.058\n", "Epoch 27 Batch 17/78 - Train Accuracy: 0.969, Validation Accuracy: 0.964, Loss: 0.054\n", "Epoch 27 Batch 18/78 - Train Accuracy: 0.968, Validation Accuracy: 0.962, Loss: 0.068\n", "Epoch 27 Batch 19/78 - Train Accuracy: 0.980, Validation Accuracy: 0.962, Loss: 0.062\n", "Epoch 27 Batch 20/78 - Train Accuracy: 0.983, Validation Accuracy: 0.953, Loss: 0.051\n", "Epoch 27 Batch 21/78 - Train Accuracy: 0.972, Validation Accuracy: 0.948, Loss: 0.062\n", "Epoch 27 Batch 22/78 - Train Accuracy: 0.970, Validation Accuracy: 0.952, Loss: 0.078\n", "Epoch 27 Batch 23/78 - Train Accuracy: 0.992, Validation Accuracy: 0.962, Loss: 0.050\n", "Epoch 27 Batch 24/78 - Train Accuracy: 0.971, Validation Accuracy: 0.962, Loss: 0.058\n", "Epoch 27 Batch 25/78 - Train Accuracy: 0.959, Validation Accuracy: 0.964, Loss: 0.070\n", "Epoch 27 Batch 26/78 - Train Accuracy: 0.967, Validation Accuracy: 0.963, Loss: 0.077\n", "Epoch 27 Batch 27/78 - Train Accuracy: 0.984, Validation Accuracy: 0.964, Loss: 0.058\n", "Epoch 27 Batch 28/78 - Train Accuracy: 0.983, Validation Accuracy: 0.967, Loss: 0.071\n", "Epoch 27 Batch 29/78 - Train Accuracy: 0.968, Validation Accuracy: 0.964, Loss: 0.053\n", "Epoch 27 Batch 30/78 - Train Accuracy: 0.977, Validation Accuracy: 0.959, Loss: 0.054\n", "Epoch 27 Batch 31/78 - Train Accuracy: 0.992, Validation Accuracy: 0.962, Loss: 0.050\n", "Epoch 27 Batch 32/78 - Train Accuracy: 0.981, Validation Accuracy: 0.962, Loss: 0.061\n", "Epoch 27 Batch 33/78 - Train Accuracy: 0.968, Validation Accuracy: 0.963, Loss: 0.068\n", "Epoch 27 Batch 34/78 - Train Accuracy: 0.967, Validation Accuracy: 0.963, Loss: 0.058\n", "Epoch 27 Batch 35/78 - Train Accuracy: 0.968, Validation Accuracy: 0.964, Loss: 0.060\n", "Epoch 27 Batch 36/78 - Train Accuracy: 0.970, Validation Accuracy: 0.963, Loss: 0.062\n", "Epoch 27 Batch 37/78 - Train Accuracy: 0.979, Validation Accuracy: 0.961, Loss: 0.061\n", "Epoch 27 Batch 38/78 - Train Accuracy: 0.994, Validation Accuracy: 0.962, Loss: 0.048\n", "Epoch 27 Batch 39/78 - Train Accuracy: 0.984, Validation Accuracy: 0.962, Loss: 0.058\n", "Epoch 27 Batch 40/78 - Train Accuracy: 0.990, Validation Accuracy: 0.963, Loss: 0.054\n", "Epoch 27 Batch 41/78 - Train Accuracy: 0.975, Validation Accuracy: 0.964, Loss: 0.062\n", "Epoch 27 Batch 42/78 - Train Accuracy: 0.970, Validation Accuracy: 0.963, Loss: 0.060\n", "Epoch 27 Batch 43/78 - Train Accuracy: 0.977, Validation Accuracy: 0.962, Loss: 0.063\n", "Epoch 27 Batch 44/78 - Train Accuracy: 0.982, Validation Accuracy: 0.959, Loss: 0.050\n", "Epoch 27 Batch 45/78 - Train Accuracy: 0.975, Validation Accuracy: 0.968, Loss: 0.062\n", "Epoch 27 Batch 46/78 - Train Accuracy: 0.983, Validation Accuracy: 0.963, Loss: 0.069\n", "Epoch 27 Batch 47/78 - Train Accuracy: 0.960, Validation Accuracy: 0.964, Loss: 0.067\n", "Epoch 27 Batch 48/78 - Train Accuracy: 0.987, Validation Accuracy: 0.961, Loss: 0.040\n", "Epoch 27 Batch 49/78 - Train Accuracy: 0.970, Validation Accuracy: 0.965, Loss: 0.079\n", "Epoch 27 Batch 50/78 - Train Accuracy: 0.975, Validation Accuracy: 0.965, Loss: 0.069\n", "Epoch 27 Batch 51/78 - Train Accuracy: 0.984, Validation Accuracy: 0.962, Loss: 0.047\n", "Epoch 27 Batch 52/78 - Train Accuracy: 0.985, Validation Accuracy: 0.963, Loss: 0.063\n", "Epoch 27 Batch 53/78 - Train Accuracy: 0.984, Validation Accuracy: 0.962, Loss: 0.055\n", "Epoch 27 Batch 54/78 - Train Accuracy: 0.978, Validation Accuracy: 0.963, Loss: 0.060\n", "Epoch 27 Batch 55/78 - Train Accuracy: 0.967, Validation Accuracy: 0.968, Loss: 0.067\n", "Epoch 27 Batch 56/78 - Train Accuracy: 0.968, Validation Accuracy: 0.963, Loss: 0.066\n", "Epoch 27 Batch 57/78 - Train Accuracy: 0.971, Validation Accuracy: 0.962, Loss: 0.061\n", "Epoch 27 Batch 58/78 - Train Accuracy: 0.967, Validation Accuracy: 0.965, Loss: 0.074\n", "Epoch 27 Batch 59/78 - Train Accuracy: 0.982, Validation Accuracy: 0.956, Loss: 0.061\n", "Epoch 27 Batch 60/78 - Train Accuracy: 0.971, Validation Accuracy: 0.955, Loss: 0.059\n", "Epoch 27 Batch 61/78 - Train Accuracy: 0.981, Validation Accuracy: 0.961, Loss: 0.067\n", "Epoch 27 Batch 62/78 - Train Accuracy: 0.982, Validation Accuracy: 0.963, Loss: 0.053\n", "Epoch 27 Batch 63/78 - Train Accuracy: 0.985, Validation Accuracy: 0.963, Loss: 0.051\n", "Epoch 27 Batch 64/78 - Train Accuracy: 0.978, Validation Accuracy: 0.964, Loss: 0.059\n", "Epoch 27 Batch 65/78 - Train Accuracy: 0.983, Validation Accuracy: 0.965, Loss: 0.057\n", "Epoch 27 Batch 66/78 - Train Accuracy: 0.967, Validation Accuracy: 0.962, Loss: 0.048\n", "Epoch 27 Batch 67/78 - Train Accuracy: 0.984, Validation Accuracy: 0.958, Loss: 0.044\n", "Epoch 27 Batch 68/78 - Train Accuracy: 0.992, Validation Accuracy: 0.960, Loss: 0.056\n", "Epoch 27 Batch 69/78 - Train Accuracy: 0.985, Validation Accuracy: 0.962, Loss: 0.049\n", "Epoch 27 Batch 70/78 - Train Accuracy: 0.981, Validation Accuracy: 0.963, Loss: 0.059\n", "Epoch 27 Batch 71/78 - Train Accuracy: 0.985, Validation Accuracy: 0.964, Loss: 0.051\n", "Epoch 27 Batch 72/78 - Train Accuracy: 0.981, Validation Accuracy: 0.962, Loss: 0.058\n", "Epoch 27 Batch 73/78 - Train Accuracy: 0.981, Validation Accuracy: 0.960, Loss: 0.065\n", "Epoch 27 Batch 74/78 - Train Accuracy: 0.955, Validation Accuracy: 0.964, Loss: 0.062\n", "Epoch 27 Batch 75/78 - Train Accuracy: 0.985, Validation Accuracy: 0.968, Loss: 0.055\n", "Epoch 27 Batch 76/78 - Train Accuracy: 0.979, Validation Accuracy: 0.970, Loss: 0.064\n", "Epoch 28 Batch 0/78 - Train Accuracy: 0.974, Validation Accuracy: 0.971, Loss: 0.057\n", "Epoch 28 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.970, Loss: 0.043\n", "Epoch 28 Batch 2/78 - Train Accuracy: 0.960, Validation Accuracy: 0.972, Loss: 0.056\n", "Epoch 28 Batch 3/78 - Train Accuracy: 0.963, Validation Accuracy: 0.972, Loss: 0.059\n", "Epoch 28 Batch 4/78 - Train Accuracy: 0.974, Validation Accuracy: 0.970, Loss: 0.050\n", "Epoch 28 Batch 5/78 - Train Accuracy: 0.980, Validation Accuracy: 0.967, Loss: 0.055\n", "Epoch 28 Batch 6/78 - Train Accuracy: 0.979, Validation Accuracy: 0.961, Loss: 0.053\n", "Epoch 28 Batch 7/78 - Train Accuracy: 0.980, Validation Accuracy: 0.962, Loss: 0.058\n", "Epoch 28 Batch 8/78 - Train Accuracy: 0.984, Validation Accuracy: 0.961, Loss: 0.053\n", "Epoch 28 Batch 9/78 - Train Accuracy: 0.989, Validation Accuracy: 0.961, Loss: 0.054\n", "Epoch 28 Batch 10/78 - Train Accuracy: 0.970, Validation Accuracy: 0.958, Loss: 0.065\n", "Epoch 28 Batch 11/78 - Train Accuracy: 0.982, Validation Accuracy: 0.962, Loss: 0.066\n", "Epoch 28 Batch 12/78 - Train Accuracy: 0.978, Validation Accuracy: 0.962, Loss: 0.064\n", "Epoch 28 Batch 13/78 - Train Accuracy: 0.987, Validation Accuracy: 0.964, Loss: 0.054\n", "Epoch 28 Batch 14/78 - Train Accuracy: 0.988, Validation Accuracy: 0.963, Loss: 0.041\n", "Epoch 28 Batch 15/78 - Train Accuracy: 0.977, Validation Accuracy: 0.960, Loss: 0.063\n", "Epoch 28 Batch 16/78 - Train Accuracy: 0.981, Validation Accuracy: 0.960, Loss: 0.053\n", "Epoch 28 Batch 17/78 - Train Accuracy: 0.972, Validation Accuracy: 0.963, Loss: 0.049\n", "Epoch 28 Batch 18/78 - Train Accuracy: 0.972, Validation Accuracy: 0.963, Loss: 0.063\n", "Epoch 28 Batch 19/78 - Train Accuracy: 0.979, Validation Accuracy: 0.960, Loss: 0.056\n", "Epoch 28 Batch 20/78 - Train Accuracy: 0.988, Validation Accuracy: 0.961, Loss: 0.047\n", "Epoch 28 Batch 21/78 - Train Accuracy: 0.977, Validation Accuracy: 0.958, Loss: 0.056\n", "Epoch 28 Batch 22/78 - Train Accuracy: 0.973, Validation Accuracy: 0.955, Loss: 0.071\n", "Epoch 28 Batch 23/78 - Train Accuracy: 0.992, Validation Accuracy: 0.959, Loss: 0.045\n", "Epoch 28 Batch 24/78 - Train Accuracy: 0.972, Validation Accuracy: 0.963, Loss: 0.053\n", "Epoch 28 Batch 25/78 - Train Accuracy: 0.972, Validation Accuracy: 0.967, Loss: 0.065\n", "Epoch 28 Batch 26/78 - Train Accuracy: 0.964, Validation Accuracy: 0.961, Loss: 0.070\n", "Epoch 28 Batch 27/78 - Train Accuracy: 0.987, Validation Accuracy: 0.959, Loss: 0.052\n", "Epoch 28 Batch 28/78 - Train Accuracy: 0.981, Validation Accuracy: 0.960, Loss: 0.068\n", "Epoch 28 Batch 29/78 - Train Accuracy: 0.971, Validation Accuracy: 0.967, Loss: 0.049\n", "Epoch 28 Batch 30/78 - Train Accuracy: 0.981, Validation Accuracy: 0.959, Loss: 0.048\n", "Epoch 28 Batch 31/78 - Train Accuracy: 0.994, Validation Accuracy: 0.969, Loss: 0.047\n", "Epoch 28 Batch 32/78 - Train Accuracy: 0.987, Validation Accuracy: 0.970, Loss: 0.055\n", "Epoch 28 Batch 33/78 - Train Accuracy: 0.977, Validation Accuracy: 0.969, Loss: 0.061\n", "Epoch 28 Batch 34/78 - Train Accuracy: 0.965, Validation Accuracy: 0.967, Loss: 0.053\n", "Epoch 28 Batch 35/78 - Train Accuracy: 0.971, Validation Accuracy: 0.964, Loss: 0.056\n", "Epoch 28 Batch 36/78 - Train Accuracy: 0.973, Validation Accuracy: 0.963, Loss: 0.057\n", "Epoch 28 Batch 37/78 - Train Accuracy: 0.982, Validation Accuracy: 0.970, Loss: 0.055\n", "Epoch 28 Batch 38/78 - Train Accuracy: 0.997, Validation Accuracy: 0.971, Loss: 0.043\n", "Epoch 28 Batch 39/78 - Train Accuracy: 0.984, Validation Accuracy: 0.971, Loss: 0.054\n", "Epoch 28 Batch 40/78 - Train Accuracy: 0.991, Validation Accuracy: 0.968, Loss: 0.048\n", "Epoch 28 Batch 41/78 - Train Accuracy: 0.975, Validation Accuracy: 0.969, Loss: 0.056\n", "Epoch 28 Batch 42/78 - Train Accuracy: 0.970, Validation Accuracy: 0.964, Loss: 0.055\n", "Epoch 28 Batch 43/78 - Train Accuracy: 0.977, Validation Accuracy: 0.970, Loss: 0.058\n", "Epoch 28 Batch 44/78 - Train Accuracy: 0.984, Validation Accuracy: 0.974, Loss: 0.045\n", "Epoch 28 Batch 45/78 - Train Accuracy: 0.981, Validation Accuracy: 0.974, Loss: 0.055\n", "Epoch 28 Batch 46/78 - Train Accuracy: 0.984, Validation Accuracy: 0.972, Loss: 0.063\n", "Epoch 28 Batch 47/78 - Train Accuracy: 0.965, Validation Accuracy: 0.963, Loss: 0.061\n", "Epoch 28 Batch 48/78 - Train Accuracy: 0.989, Validation Accuracy: 0.962, Loss: 0.035\n", "Epoch 28 Batch 49/78 - Train Accuracy: 0.981, Validation Accuracy: 0.965, Loss: 0.068\n", "Epoch 28 Batch 50/78 - Train Accuracy: 0.988, Validation Accuracy: 0.968, Loss: 0.062\n", "Epoch 28 Batch 51/78 - Train Accuracy: 0.990, Validation Accuracy: 0.963, Loss: 0.041\n", "Epoch 28 Batch 52/78 - Train Accuracy: 0.982, Validation Accuracy: 0.963, Loss: 0.055\n", "Epoch 28 Batch 53/78 - Train Accuracy: 0.988, Validation Accuracy: 0.963, Loss: 0.046\n", "Epoch 28 Batch 54/78 - Train Accuracy: 0.979, Validation Accuracy: 0.965, Loss: 0.055\n", "Epoch 28 Batch 55/78 - Train Accuracy: 0.977, Validation Accuracy: 0.965, Loss: 0.060\n", "Epoch 28 Batch 56/78 - Train Accuracy: 0.970, Validation Accuracy: 0.965, Loss: 0.060\n", "Epoch 28 Batch 57/78 - Train Accuracy: 0.981, Validation Accuracy: 0.974, Loss: 0.054\n", "Epoch 28 Batch 58/78 - Train Accuracy: 0.973, Validation Accuracy: 0.969, Loss: 0.065\n", "Epoch 28 Batch 59/78 - Train Accuracy: 0.989, Validation Accuracy: 0.969, Loss: 0.055\n", "Epoch 28 Batch 60/78 - Train Accuracy: 0.982, Validation Accuracy: 0.964, Loss: 0.052\n", "Epoch 28 Batch 61/78 - Train Accuracy: 0.984, Validation Accuracy: 0.965, Loss: 0.057\n", "Epoch 28 Batch 62/78 - Train Accuracy: 0.988, Validation Accuracy: 0.963, Loss: 0.047\n", "Epoch 28 Batch 63/78 - Train Accuracy: 0.980, Validation Accuracy: 0.959, Loss: 0.046\n", "Epoch 28 Batch 64/78 - Train Accuracy: 0.977, Validation Accuracy: 0.962, Loss: 0.052\n", "Epoch 28 Batch 65/78 - Train Accuracy: 0.989, Validation Accuracy: 0.965, Loss: 0.050\n", "Epoch 28 Batch 66/78 - Train Accuracy: 0.965, Validation Accuracy: 0.965, Loss: 0.044\n", "Epoch 28 Batch 67/78 - Train Accuracy: 0.984, Validation Accuracy: 0.965, Loss: 0.039\n", "Epoch 28 Batch 68/78 - Train Accuracy: 0.992, Validation Accuracy: 0.964, Loss: 0.049\n", "Epoch 28 Batch 69/78 - Train Accuracy: 0.990, Validation Accuracy: 0.961, Loss: 0.043\n", "Epoch 28 Batch 70/78 - Train Accuracy: 0.983, Validation Accuracy: 0.962, Loss: 0.052\n", "Epoch 28 Batch 71/78 - Train Accuracy: 0.987, Validation Accuracy: 0.958, Loss: 0.046\n", "Epoch 28 Batch 72/78 - Train Accuracy: 0.990, Validation Accuracy: 0.958, Loss: 0.052\n", "Epoch 28 Batch 73/78 - Train Accuracy: 0.983, Validation Accuracy: 0.964, Loss: 0.062\n", "Epoch 28 Batch 74/78 - Train Accuracy: 0.973, Validation Accuracy: 0.964, Loss: 0.055\n", "Epoch 28 Batch 75/78 - Train Accuracy: 0.987, Validation Accuracy: 0.968, Loss: 0.048\n", "Epoch 28 Batch 76/78 - Train Accuracy: 0.982, Validation Accuracy: 0.968, Loss: 0.058\n", "Epoch 29 Batch 0/78 - Train Accuracy: 0.974, Validation Accuracy: 0.969, Loss: 0.052\n", "Epoch 29 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.969, Loss: 0.039\n", "Epoch 29 Batch 2/78 - Train Accuracy: 0.970, Validation Accuracy: 0.972, Loss: 0.049\n", "Epoch 29 Batch 3/78 - Train Accuracy: 0.967, Validation Accuracy: 0.973, Loss: 0.054\n", "Epoch 29 Batch 4/78 - Train Accuracy: 0.982, Validation Accuracy: 0.971, Loss: 0.047\n", "Epoch 29 Batch 5/78 - Train Accuracy: 0.982, Validation Accuracy: 0.964, Loss: 0.049\n", "Epoch 29 Batch 6/78 - Train Accuracy: 0.982, Validation Accuracy: 0.958, Loss: 0.049\n", "Epoch 29 Batch 7/78 - Train Accuracy: 0.980, Validation Accuracy: 0.959, Loss: 0.050\n", "Epoch 29 Batch 8/78 - Train Accuracy: 0.979, Validation Accuracy: 0.963, Loss: 0.048\n", "Epoch 29 Batch 9/78 - Train Accuracy: 0.990, Validation Accuracy: 0.969, Loss: 0.050\n", "Epoch 29 Batch 10/78 - Train Accuracy: 0.972, Validation Accuracy: 0.967, Loss: 0.058\n", "Epoch 29 Batch 11/78 - Train Accuracy: 0.982, Validation Accuracy: 0.963, Loss: 0.060\n", "Epoch 29 Batch 12/78 - Train Accuracy: 0.982, Validation Accuracy: 0.967, Loss: 0.057\n", "Epoch 29 Batch 13/78 - Train Accuracy: 0.988, Validation Accuracy: 0.965, Loss: 0.050\n", "Epoch 29 Batch 14/78 - Train Accuracy: 0.992, Validation Accuracy: 0.964, Loss: 0.037\n", "Epoch 29 Batch 15/78 - Train Accuracy: 0.981, Validation Accuracy: 0.962, Loss: 0.055\n", "Epoch 29 Batch 16/78 - Train Accuracy: 0.980, Validation Accuracy: 0.960, Loss: 0.049\n", "Epoch 29 Batch 17/78 - Train Accuracy: 0.970, Validation Accuracy: 0.962, Loss: 0.046\n", "Epoch 29 Batch 18/78 - Train Accuracy: 0.974, Validation Accuracy: 0.963, Loss: 0.058\n", "Epoch 29 Batch 19/78 - Train Accuracy: 0.988, Validation Accuracy: 0.959, Loss: 0.049\n", "Epoch 29 Batch 20/78 - Train Accuracy: 0.989, Validation Accuracy: 0.959, Loss: 0.044\n", "Epoch 29 Batch 21/78 - Train Accuracy: 0.977, Validation Accuracy: 0.959, Loss: 0.052\n", "Epoch 29 Batch 22/78 - Train Accuracy: 0.971, Validation Accuracy: 0.961, Loss: 0.065\n", "Epoch 29 Batch 23/78 - Train Accuracy: 0.993, Validation Accuracy: 0.958, Loss: 0.040\n", "Epoch 29 Batch 24/78 - Train Accuracy: 0.975, Validation Accuracy: 0.962, Loss: 0.049\n", "Epoch 29 Batch 25/78 - Train Accuracy: 0.971, Validation Accuracy: 0.968, Loss: 0.061\n", "Epoch 29 Batch 26/78 - Train Accuracy: 0.967, Validation Accuracy: 0.963, Loss: 0.063\n", "Epoch 29 Batch 27/78 - Train Accuracy: 0.994, Validation Accuracy: 0.962, Loss: 0.047\n", "Epoch 29 Batch 28/78 - Train Accuracy: 0.980, Validation Accuracy: 0.967, Loss: 0.063\n", "Epoch 29 Batch 29/78 - Train Accuracy: 0.979, Validation Accuracy: 0.969, Loss: 0.047\n", "Epoch 29 Batch 30/78 - Train Accuracy: 0.988, Validation Accuracy: 0.969, Loss: 0.044\n", "Epoch 29 Batch 31/78 - Train Accuracy: 0.994, Validation Accuracy: 0.973, Loss: 0.042\n", "Epoch 29 Batch 32/78 - Train Accuracy: 0.991, Validation Accuracy: 0.978, Loss: 0.049\n", "Epoch 29 Batch 33/78 - Train Accuracy: 0.978, Validation Accuracy: 0.978, Loss: 0.057\n", "Epoch 29 Batch 34/78 - Train Accuracy: 0.978, Validation Accuracy: 0.972, Loss: 0.048\n", "Epoch 29 Batch 35/78 - Train Accuracy: 0.975, Validation Accuracy: 0.968, Loss: 0.050\n", "Epoch 29 Batch 36/78 - Train Accuracy: 0.979, Validation Accuracy: 0.969, Loss: 0.053\n", "Epoch 29 Batch 37/78 - Train Accuracy: 0.985, Validation Accuracy: 0.971, Loss: 0.049\n", "Epoch 29 Batch 38/78 - Train Accuracy: 0.997, Validation Accuracy: 0.971, Loss: 0.039\n", "Epoch 29 Batch 39/78 - Train Accuracy: 0.991, Validation Accuracy: 0.969, Loss: 0.049\n", "Epoch 29 Batch 40/78 - Train Accuracy: 0.993, Validation Accuracy: 0.971, Loss: 0.044\n", "Epoch 29 Batch 41/78 - Train Accuracy: 0.977, Validation Accuracy: 0.971, Loss: 0.051\n", "Epoch 29 Batch 42/78 - Train Accuracy: 0.972, Validation Accuracy: 0.973, Loss: 0.050\n", "Epoch 29 Batch 43/78 - Train Accuracy: 0.980, Validation Accuracy: 0.973, Loss: 0.054\n", "Epoch 29 Batch 44/78 - Train Accuracy: 0.984, Validation Accuracy: 0.975, Loss: 0.041\n", "Epoch 29 Batch 45/78 - Train Accuracy: 0.981, Validation Accuracy: 0.974, Loss: 0.050\n", "Epoch 29 Batch 46/78 - Train Accuracy: 0.984, Validation Accuracy: 0.972, Loss: 0.058\n", "Epoch 29 Batch 47/78 - Train Accuracy: 0.971, Validation Accuracy: 0.968, Loss: 0.056\n", "Epoch 29 Batch 48/78 - Train Accuracy: 0.993, Validation Accuracy: 0.964, Loss: 0.032\n", "Epoch 29 Batch 49/78 - Train Accuracy: 0.985, Validation Accuracy: 0.965, Loss: 0.060\n", "Epoch 29 Batch 50/78 - Train Accuracy: 0.988, Validation Accuracy: 0.964, Loss: 0.056\n", "Epoch 29 Batch 51/78 - Train Accuracy: 0.993, Validation Accuracy: 0.969, Loss: 0.037\n", "Epoch 29 Batch 52/78 - Train Accuracy: 0.983, Validation Accuracy: 0.972, Loss: 0.049\n", "Epoch 29 Batch 53/78 - Train Accuracy: 0.988, Validation Accuracy: 0.969, Loss: 0.042\n", "Epoch 29 Batch 54/78 - Train Accuracy: 0.985, Validation Accuracy: 0.967, Loss: 0.049\n", "Epoch 29 Batch 55/78 - Train Accuracy: 0.977, Validation Accuracy: 0.964, Loss: 0.055\n", "Epoch 29 Batch 56/78 - Train Accuracy: 0.972, Validation Accuracy: 0.969, Loss: 0.055\n", "Epoch 29 Batch 57/78 - Train Accuracy: 0.981, Validation Accuracy: 0.971, Loss: 0.050\n", "Epoch 29 Batch 58/78 - Train Accuracy: 0.970, Validation Accuracy: 0.972, Loss: 0.058\n", "Epoch 29 Batch 59/78 - Train Accuracy: 0.988, Validation Accuracy: 0.970, Loss: 0.050\n", "Epoch 29 Batch 60/78 - Train Accuracy: 0.987, Validation Accuracy: 0.969, Loss: 0.047\n", "Epoch 29 Batch 61/78 - Train Accuracy: 0.984, Validation Accuracy: 0.965, Loss: 0.053\n", "Epoch 29 Batch 62/78 - Train Accuracy: 0.990, Validation Accuracy: 0.970, Loss: 0.043\n", "Epoch 29 Batch 63/78 - Train Accuracy: 0.984, Validation Accuracy: 0.965, Loss: 0.043\n", "Epoch 29 Batch 64/78 - Train Accuracy: 0.978, Validation Accuracy: 0.964, Loss: 0.048\n", "Epoch 29 Batch 65/78 - Train Accuracy: 0.989, Validation Accuracy: 0.962, Loss: 0.044\n", "Epoch 29 Batch 66/78 - Train Accuracy: 0.971, Validation Accuracy: 0.965, Loss: 0.041\n", "Epoch 29 Batch 67/78 - Train Accuracy: 0.984, Validation Accuracy: 0.962, Loss: 0.036\n", "Epoch 29 Batch 68/78 - Train Accuracy: 0.992, Validation Accuracy: 0.963, Loss: 0.045\n", "Epoch 29 Batch 69/78 - Train Accuracy: 0.996, Validation Accuracy: 0.963, Loss: 0.040\n", "Epoch 29 Batch 70/78 - Train Accuracy: 0.989, Validation Accuracy: 0.959, Loss: 0.047\n", "Epoch 29 Batch 71/78 - Train Accuracy: 0.987, Validation Accuracy: 0.962, Loss: 0.042\n", "Epoch 29 Batch 72/78 - Train Accuracy: 0.992, Validation Accuracy: 0.963, Loss: 0.048\n", "Epoch 29 Batch 73/78 - Train Accuracy: 0.983, Validation Accuracy: 0.967, Loss: 0.058\n", "Epoch 29 Batch 74/78 - Train Accuracy: 0.984, Validation Accuracy: 0.968, Loss: 0.050\n", "Epoch 29 Batch 75/78 - Train Accuracy: 0.989, Validation Accuracy: 0.968, Loss: 0.044\n", "Epoch 29 Batch 76/78 - Train Accuracy: 0.987, Validation Accuracy: 0.970, Loss: 0.054\n", "Epoch 30 Batch 0/78 - Train Accuracy: 0.975, Validation Accuracy: 0.968, Loss: 0.048\n", "Epoch 30 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.968, Loss: 0.036\n", "Epoch 30 Batch 2/78 - Train Accuracy: 0.974, Validation Accuracy: 0.970, Loss: 0.045\n", "Epoch 30 Batch 3/78 - Train Accuracy: 0.970, Validation Accuracy: 0.973, Loss: 0.050\n", "Epoch 30 Batch 4/78 - Train Accuracy: 0.988, Validation Accuracy: 0.969, Loss: 0.044\n", "Epoch 30 Batch 5/78 - Train Accuracy: 0.982, Validation Accuracy: 0.965, Loss: 0.045\n", "Epoch 30 Batch 6/78 - Train Accuracy: 0.983, Validation Accuracy: 0.962, Loss: 0.045\n", "Epoch 30 Batch 7/78 - Train Accuracy: 0.982, Validation Accuracy: 0.961, Loss: 0.045\n", "Epoch 30 Batch 8/78 - Train Accuracy: 0.990, Validation Accuracy: 0.963, Loss: 0.044\n", "Epoch 30 Batch 9/78 - Train Accuracy: 0.990, Validation Accuracy: 0.971, Loss: 0.046\n", "Epoch 30 Batch 10/78 - Train Accuracy: 0.977, Validation Accuracy: 0.969, Loss: 0.053\n", "Epoch 30 Batch 11/78 - Train Accuracy: 0.982, Validation Accuracy: 0.968, Loss: 0.055\n", "Epoch 30 Batch 12/78 - Train Accuracy: 0.983, Validation Accuracy: 0.969, Loss: 0.052\n", "Epoch 30 Batch 13/78 - Train Accuracy: 0.991, Validation Accuracy: 0.971, Loss: 0.046\n", "Epoch 30 Batch 14/78 - Train Accuracy: 0.992, Validation Accuracy: 0.967, Loss: 0.034\n", "Epoch 30 Batch 15/78 - Train Accuracy: 0.982, Validation Accuracy: 0.965, Loss: 0.050\n", "Epoch 30 Batch 16/78 - Train Accuracy: 0.982, Validation Accuracy: 0.967, Loss: 0.045\n", "Epoch 30 Batch 17/78 - Train Accuracy: 0.974, Validation Accuracy: 0.967, Loss: 0.043\n", "Epoch 30 Batch 18/78 - Train Accuracy: 0.975, Validation Accuracy: 0.968, Loss: 0.054\n", "Epoch 30 Batch 19/78 - Train Accuracy: 0.988, Validation Accuracy: 0.964, Loss: 0.044\n", "Epoch 30 Batch 20/78 - Train Accuracy: 0.989, Validation Accuracy: 0.962, Loss: 0.040\n", "Epoch 30 Batch 21/78 - Train Accuracy: 0.985, Validation Accuracy: 0.961, Loss: 0.048\n", "Epoch 30 Batch 22/78 - Train Accuracy: 0.974, Validation Accuracy: 0.960, Loss: 0.062\n", "Epoch 30 Batch 23/78 - Train Accuracy: 0.993, Validation Accuracy: 0.959, Loss: 0.036\n", "Epoch 30 Batch 24/78 - Train Accuracy: 0.979, Validation Accuracy: 0.960, Loss: 0.045\n", "Epoch 30 Batch 25/78 - Train Accuracy: 0.971, Validation Accuracy: 0.964, Loss: 0.057\n", "Epoch 30 Batch 26/78 - Train Accuracy: 0.974, Validation Accuracy: 0.969, Loss: 0.058\n", "Epoch 30 Batch 27/78 - Train Accuracy: 0.994, Validation Accuracy: 0.965, Loss: 0.044\n", "Epoch 30 Batch 28/78 - Train Accuracy: 0.980, Validation Accuracy: 0.969, Loss: 0.057\n", "Epoch 30 Batch 29/78 - Train Accuracy: 0.982, Validation Accuracy: 0.968, Loss: 0.044\n", "Epoch 30 Batch 30/78 - Train Accuracy: 0.988, Validation Accuracy: 0.969, Loss: 0.041\n", "Epoch 30 Batch 31/78 - Train Accuracy: 0.994, Validation Accuracy: 0.972, Loss: 0.038\n", "Epoch 30 Batch 32/78 - Train Accuracy: 0.993, Validation Accuracy: 0.978, Loss: 0.045\n", "Epoch 30 Batch 33/78 - Train Accuracy: 0.980, Validation Accuracy: 0.977, Loss: 0.053\n", "Epoch 30 Batch 34/78 - Train Accuracy: 0.987, Validation Accuracy: 0.974, Loss: 0.044\n", "Epoch 30 Batch 35/78 - Train Accuracy: 0.978, Validation Accuracy: 0.972, Loss: 0.045\n", "Epoch 30 Batch 36/78 - Train Accuracy: 0.979, Validation Accuracy: 0.970, Loss: 0.049\n", "Epoch 30 Batch 37/78 - Train Accuracy: 0.993, Validation Accuracy: 0.974, Loss: 0.045\n", "Epoch 30 Batch 38/78 - Train Accuracy: 0.997, Validation Accuracy: 0.973, Loss: 0.035\n", "Epoch 30 Batch 39/78 - Train Accuracy: 0.993, Validation Accuracy: 0.975, Loss: 0.044\n", "Epoch 30 Batch 40/78 - Train Accuracy: 0.997, Validation Accuracy: 0.973, Loss: 0.041\n", "Epoch 30 Batch 41/78 - Train Accuracy: 0.985, Validation Accuracy: 0.973, Loss: 0.046\n", "Epoch 30 Batch 42/78 - Train Accuracy: 0.972, Validation Accuracy: 0.973, Loss: 0.046\n", "Epoch 30 Batch 43/78 - Train Accuracy: 0.983, Validation Accuracy: 0.970, Loss: 0.049\n", "Epoch 30 Batch 44/78 - Train Accuracy: 0.997, Validation Accuracy: 0.975, Loss: 0.039\n", "Epoch 30 Batch 45/78 - Train Accuracy: 0.985, Validation Accuracy: 0.975, Loss: 0.045\n", "Epoch 30 Batch 46/78 - Train Accuracy: 0.987, Validation Accuracy: 0.974, Loss: 0.052\n", "Epoch 30 Batch 47/78 - Train Accuracy: 0.973, Validation Accuracy: 0.974, Loss: 0.052\n", "Epoch 30 Batch 48/78 - Train Accuracy: 0.990, Validation Accuracy: 0.964, Loss: 0.030\n", "Epoch 30 Batch 49/78 - Train Accuracy: 0.985, Validation Accuracy: 0.963, Loss: 0.054\n", "Epoch 30 Batch 50/78 - Train Accuracy: 0.984, Validation Accuracy: 0.967, Loss: 0.051\n", "Epoch 30 Batch 51/78 - Train Accuracy: 0.993, Validation Accuracy: 0.968, Loss: 0.034\n", "Epoch 30 Batch 52/78 - Train Accuracy: 0.993, Validation Accuracy: 0.968, Loss: 0.045\n", "Epoch 30 Batch 53/78 - Train Accuracy: 0.984, Validation Accuracy: 0.970, Loss: 0.038\n", "Epoch 30 Batch 54/78 - Train Accuracy: 0.989, Validation Accuracy: 0.967, Loss: 0.045\n", "Epoch 30 Batch 55/78 - Train Accuracy: 0.982, Validation Accuracy: 0.962, Loss: 0.050\n", "Epoch 30 Batch 56/78 - Train Accuracy: 0.978, Validation Accuracy: 0.974, Loss: 0.051\n", "Epoch 30 Batch 57/78 - Train Accuracy: 0.984, Validation Accuracy: 0.972, Loss: 0.047\n", "Epoch 30 Batch 58/78 - Train Accuracy: 0.981, Validation Accuracy: 0.968, Loss: 0.054\n", "Epoch 30 Batch 59/78 - Train Accuracy: 0.988, Validation Accuracy: 0.970, Loss: 0.046\n", "Epoch 30 Batch 60/78 - Train Accuracy: 0.987, Validation Accuracy: 0.969, Loss: 0.044\n", "Epoch 30 Batch 61/78 - Train Accuracy: 0.987, Validation Accuracy: 0.970, Loss: 0.050\n", "Epoch 30 Batch 62/78 - Train Accuracy: 0.997, Validation Accuracy: 0.970, Loss: 0.039\n", "Epoch 30 Batch 63/78 - Train Accuracy: 0.988, Validation Accuracy: 0.969, Loss: 0.040\n", "Epoch 30 Batch 64/78 - Train Accuracy: 0.978, Validation Accuracy: 0.964, Loss: 0.045\n", "Epoch 30 Batch 65/78 - Train Accuracy: 0.994, Validation Accuracy: 0.964, Loss: 0.041\n", "Epoch 30 Batch 66/78 - Train Accuracy: 0.972, Validation Accuracy: 0.967, Loss: 0.038\n", "Epoch 30 Batch 67/78 - Train Accuracy: 0.989, Validation Accuracy: 0.962, Loss: 0.033\n", "Epoch 30 Batch 68/78 - Train Accuracy: 0.992, Validation Accuracy: 0.965, Loss: 0.040\n", "Epoch 30 Batch 69/78 - Train Accuracy: 0.996, Validation Accuracy: 0.961, Loss: 0.036\n", "Epoch 30 Batch 70/78 - Train Accuracy: 0.989, Validation Accuracy: 0.959, Loss: 0.044\n", "Epoch 30 Batch 71/78 - Train Accuracy: 0.990, Validation Accuracy: 0.960, Loss: 0.038\n", "Epoch 30 Batch 72/78 - Train Accuracy: 0.994, Validation Accuracy: 0.962, Loss: 0.044\n", "Epoch 30 Batch 73/78 - Train Accuracy: 0.984, Validation Accuracy: 0.965, Loss: 0.053\n", "Epoch 30 Batch 74/78 - Train Accuracy: 0.989, Validation Accuracy: 0.964, Loss: 0.046\n", "Epoch 30 Batch 75/78 - Train Accuracy: 0.991, Validation Accuracy: 0.967, Loss: 0.040\n", "Epoch 30 Batch 76/78 - Train Accuracy: 0.985, Validation Accuracy: 0.968, Loss: 0.049\n", "Epoch 31 Batch 0/78 - Train Accuracy: 0.975, Validation Accuracy: 0.968, Loss: 0.044\n", "Epoch 31 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.968, Loss: 0.033\n", "Epoch 31 Batch 2/78 - Train Accuracy: 0.974, Validation Accuracy: 0.972, Loss: 0.040\n", "Epoch 31 Batch 3/78 - Train Accuracy: 0.970, Validation Accuracy: 0.977, Loss: 0.046\n", "Epoch 31 Batch 4/78 - Train Accuracy: 0.992, Validation Accuracy: 0.971, Loss: 0.041\n", "Epoch 31 Batch 5/78 - Train Accuracy: 0.981, Validation Accuracy: 0.971, Loss: 0.042\n", "Epoch 31 Batch 6/78 - Train Accuracy: 0.987, Validation Accuracy: 0.965, Loss: 0.041\n", "Epoch 31 Batch 7/78 - Train Accuracy: 0.991, Validation Accuracy: 0.968, Loss: 0.041\n", "Epoch 31 Batch 8/78 - Train Accuracy: 0.992, Validation Accuracy: 0.965, Loss: 0.040\n", "Epoch 31 Batch 9/78 - Train Accuracy: 0.992, Validation Accuracy: 0.975, Loss: 0.043\n", "Epoch 31 Batch 10/78 - Train Accuracy: 0.981, Validation Accuracy: 0.972, Loss: 0.049\n", "Epoch 31 Batch 11/78 - Train Accuracy: 0.982, Validation Accuracy: 0.969, Loss: 0.050\n", "Epoch 31 Batch 12/78 - Train Accuracy: 0.982, Validation Accuracy: 0.969, Loss: 0.047\n", "Epoch 31 Batch 13/78 - Train Accuracy: 0.988, Validation Accuracy: 0.972, Loss: 0.043\n", "Epoch 31 Batch 14/78 - Train Accuracy: 0.992, Validation Accuracy: 0.969, Loss: 0.032\n", "Epoch 31 Batch 15/78 - Train Accuracy: 0.983, Validation Accuracy: 0.965, Loss: 0.047\n", "Epoch 31 Batch 16/78 - Train Accuracy: 0.985, Validation Accuracy: 0.967, Loss: 0.040\n", "Epoch 31 Batch 17/78 - Train Accuracy: 0.978, Validation Accuracy: 0.965, Loss: 0.039\n", "Epoch 31 Batch 18/78 - Train Accuracy: 0.981, Validation Accuracy: 0.970, Loss: 0.051\n", "Epoch 31 Batch 19/78 - Train Accuracy: 0.991, Validation Accuracy: 0.964, Loss: 0.040\n", "Epoch 31 Batch 20/78 - Train Accuracy: 0.989, Validation Accuracy: 0.963, Loss: 0.036\n", "Epoch 31 Batch 21/78 - Train Accuracy: 0.985, Validation Accuracy: 0.962, Loss: 0.045\n", "Epoch 31 Batch 22/78 - Train Accuracy: 0.975, Validation Accuracy: 0.960, Loss: 0.059\n", "Epoch 31 Batch 23/78 - Train Accuracy: 0.993, Validation Accuracy: 0.960, Loss: 0.034\n", "Epoch 31 Batch 24/78 - Train Accuracy: 0.978, Validation Accuracy: 0.961, Loss: 0.040\n", "Epoch 31 Batch 25/78 - Train Accuracy: 0.971, Validation Accuracy: 0.963, Loss: 0.052\n", "Epoch 31 Batch 26/78 - Train Accuracy: 0.975, Validation Accuracy: 0.968, Loss: 0.054\n", "Epoch 31 Batch 27/78 - Train Accuracy: 0.994, Validation Accuracy: 0.964, Loss: 0.041\n", "Epoch 31 Batch 28/78 - Train Accuracy: 0.985, Validation Accuracy: 0.970, Loss: 0.053\n", "Epoch 31 Batch 29/78 - Train Accuracy: 0.980, Validation Accuracy: 0.970, Loss: 0.041\n", "Epoch 31 Batch 30/78 - Train Accuracy: 0.988, Validation Accuracy: 0.970, Loss: 0.038\n", "Epoch 31 Batch 31/78 - Train Accuracy: 0.994, Validation Accuracy: 0.975, Loss: 0.035\n", "Epoch 31 Batch 32/78 - Train Accuracy: 0.996, Validation Accuracy: 0.977, Loss: 0.041\n", "Epoch 31 Batch 33/78 - Train Accuracy: 0.987, Validation Accuracy: 0.978, Loss: 0.050\n", "Epoch 31 Batch 34/78 - Train Accuracy: 0.982, Validation Accuracy: 0.974, Loss: 0.040\n", "Epoch 31 Batch 35/78 - Train Accuracy: 0.982, Validation Accuracy: 0.975, Loss: 0.041\n", "Epoch 31 Batch 36/78 - Train Accuracy: 0.982, Validation Accuracy: 0.981, Loss: 0.046\n", "Epoch 31 Batch 37/78 - Train Accuracy: 0.997, Validation Accuracy: 0.979, Loss: 0.043\n", "Epoch 31 Batch 38/78 - Train Accuracy: 0.997, Validation Accuracy: 0.982, Loss: 0.032\n", "Epoch 31 Batch 39/78 - Train Accuracy: 0.996, Validation Accuracy: 0.980, Loss: 0.040\n", "Epoch 31 Batch 40/78 - Train Accuracy: 0.997, Validation Accuracy: 0.973, Loss: 0.038\n", "Epoch 31 Batch 41/78 - Train Accuracy: 0.989, Validation Accuracy: 0.974, Loss: 0.043\n", "Epoch 31 Batch 42/78 - Train Accuracy: 0.973, Validation Accuracy: 0.972, Loss: 0.042\n", "Epoch 31 Batch 43/78 - Train Accuracy: 0.984, Validation Accuracy: 0.977, Loss: 0.045\n", "Epoch 31 Batch 44/78 - Train Accuracy: 0.997, Validation Accuracy: 0.975, Loss: 0.037\n", "Epoch 31 Batch 45/78 - Train Accuracy: 0.983, Validation Accuracy: 0.978, Loss: 0.042\n", "Epoch 31 Batch 46/78 - Train Accuracy: 0.985, Validation Accuracy: 0.975, Loss: 0.047\n", "Epoch 31 Batch 47/78 - Train Accuracy: 0.977, Validation Accuracy: 0.975, Loss: 0.048\n", "Epoch 31 Batch 48/78 - Train Accuracy: 0.992, Validation Accuracy: 0.971, Loss: 0.028\n", "Epoch 31 Batch 49/78 - Train Accuracy: 0.985, Validation Accuracy: 0.961, Loss: 0.049\n", "Epoch 31 Batch 50/78 - Train Accuracy: 0.990, Validation Accuracy: 0.967, Loss: 0.048\n", "Epoch 31 Batch 51/78 - Train Accuracy: 0.993, Validation Accuracy: 0.970, Loss: 0.032\n", "Epoch 31 Batch 52/78 - Train Accuracy: 0.994, Validation Accuracy: 0.968, Loss: 0.042\n", "Epoch 31 Batch 53/78 - Train Accuracy: 0.984, Validation Accuracy: 0.971, Loss: 0.035\n", "Epoch 31 Batch 54/78 - Train Accuracy: 0.989, Validation Accuracy: 0.973, Loss: 0.041\n", "Epoch 31 Batch 55/78 - Train Accuracy: 0.987, Validation Accuracy: 0.973, Loss: 0.046\n", "Epoch 31 Batch 56/78 - Train Accuracy: 0.978, Validation Accuracy: 0.975, Loss: 0.047\n", "Epoch 31 Batch 57/78 - Train Accuracy: 0.987, Validation Accuracy: 0.972, Loss: 0.044\n", "Epoch 31 Batch 58/78 - Train Accuracy: 0.984, Validation Accuracy: 0.971, Loss: 0.050\n", "Epoch 31 Batch 59/78 - Train Accuracy: 0.988, Validation Accuracy: 0.974, Loss: 0.044\n", "Epoch 31 Batch 60/78 - Train Accuracy: 0.992, Validation Accuracy: 0.970, Loss: 0.040\n", "Epoch 31 Batch 61/78 - Train Accuracy: 0.987, Validation Accuracy: 0.972, Loss: 0.047\n", "Epoch 31 Batch 62/78 - Train Accuracy: 0.997, Validation Accuracy: 0.972, Loss: 0.036\n", "Epoch 31 Batch 63/78 - Train Accuracy: 0.988, Validation Accuracy: 0.974, Loss: 0.037\n", "Epoch 31 Batch 64/78 - Train Accuracy: 0.982, Validation Accuracy: 0.971, Loss: 0.041\n", "Epoch 31 Batch 65/78 - Train Accuracy: 0.997, Validation Accuracy: 0.968, Loss: 0.038\n", "Epoch 31 Batch 66/78 - Train Accuracy: 0.980, Validation Accuracy: 0.970, Loss: 0.036\n", "Epoch 31 Batch 67/78 - Train Accuracy: 0.994, Validation Accuracy: 0.965, Loss: 0.030\n", "Epoch 31 Batch 68/78 - Train Accuracy: 0.992, Validation Accuracy: 0.968, Loss: 0.037\n", "Epoch 31 Batch 69/78 - Train Accuracy: 0.996, Validation Accuracy: 0.961, Loss: 0.033\n", "Epoch 31 Batch 70/78 - Train Accuracy: 0.988, Validation Accuracy: 0.963, Loss: 0.040\n", "Epoch 31 Batch 71/78 - Train Accuracy: 0.994, Validation Accuracy: 0.960, Loss: 0.034\n", "Epoch 31 Batch 72/78 - Train Accuracy: 0.994, Validation Accuracy: 0.964, Loss: 0.040\n", "Epoch 31 Batch 73/78 - Train Accuracy: 0.985, Validation Accuracy: 0.964, Loss: 0.049\n", "Epoch 31 Batch 74/78 - Train Accuracy: 0.989, Validation Accuracy: 0.965, Loss: 0.043\n", "Epoch 31 Batch 75/78 - Train Accuracy: 0.994, Validation Accuracy: 0.968, Loss: 0.036\n", "Epoch 31 Batch 76/78 - Train Accuracy: 0.990, Validation Accuracy: 0.971, Loss: 0.045\n", "Epoch 32 Batch 0/78 - Train Accuracy: 0.977, Validation Accuracy: 0.968, Loss: 0.041\n", "Epoch 32 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.971, Loss: 0.031\n", "Epoch 32 Batch 2/78 - Train Accuracy: 0.975, Validation Accuracy: 0.972, Loss: 0.036\n", "Epoch 32 Batch 3/78 - Train Accuracy: 0.971, Validation Accuracy: 0.974, Loss: 0.041\n", "Epoch 32 Batch 4/78 - Train Accuracy: 0.997, Validation Accuracy: 0.969, Loss: 0.036\n", "Epoch 32 Batch 5/78 - Train Accuracy: 0.981, Validation Accuracy: 0.974, Loss: 0.039\n", "Epoch 32 Batch 6/78 - Train Accuracy: 0.988, Validation Accuracy: 0.970, Loss: 0.037\n", "Epoch 32 Batch 7/78 - Train Accuracy: 0.994, Validation Accuracy: 0.972, Loss: 0.037\n", "Epoch 32 Batch 8/78 - Train Accuracy: 0.996, Validation Accuracy: 0.975, Loss: 0.036\n", "Epoch 32 Batch 9/78 - Train Accuracy: 0.992, Validation Accuracy: 0.973, Loss: 0.039\n", "Epoch 32 Batch 10/78 - Train Accuracy: 0.982, Validation Accuracy: 0.973, Loss: 0.045\n", "Epoch 32 Batch 11/78 - Train Accuracy: 0.989, Validation Accuracy: 0.973, Loss: 0.046\n", "Epoch 32 Batch 12/78 - Train Accuracy: 0.985, Validation Accuracy: 0.969, Loss: 0.041\n", "Epoch 32 Batch 13/78 - Train Accuracy: 0.991, Validation Accuracy: 0.970, Loss: 0.039\n", "Epoch 32 Batch 14/78 - Train Accuracy: 0.992, Validation Accuracy: 0.970, Loss: 0.030\n", "Epoch 32 Batch 15/78 - Train Accuracy: 0.983, Validation Accuracy: 0.968, Loss: 0.045\n", "Epoch 32 Batch 16/78 - Train Accuracy: 0.990, Validation Accuracy: 0.968, Loss: 0.036\n", "Epoch 32 Batch 17/78 - Train Accuracy: 0.983, Validation Accuracy: 0.967, Loss: 0.034\n", "Epoch 32 Batch 18/78 - Train Accuracy: 0.979, Validation Accuracy: 0.967, Loss: 0.048\n", "Epoch 32 Batch 19/78 - Train Accuracy: 0.992, Validation Accuracy: 0.972, Loss: 0.038\n", "Epoch 32 Batch 20/78 - Train Accuracy: 0.992, Validation Accuracy: 0.963, Loss: 0.032\n", "Epoch 32 Batch 21/78 - Train Accuracy: 0.988, Validation Accuracy: 0.961, Loss: 0.041\n", "Epoch 32 Batch 22/78 - Train Accuracy: 0.978, Validation Accuracy: 0.961, Loss: 0.054\n", "Epoch 32 Batch 23/78 - Train Accuracy: 0.994, Validation Accuracy: 0.962, Loss: 0.032\n", "Epoch 32 Batch 24/78 - Train Accuracy: 0.989, Validation Accuracy: 0.962, Loss: 0.036\n", "Epoch 32 Batch 25/78 - Train Accuracy: 0.983, Validation Accuracy: 0.967, Loss: 0.046\n", "Epoch 32 Batch 26/78 - Train Accuracy: 0.980, Validation Accuracy: 0.970, Loss: 0.049\n", "Epoch 32 Batch 27/78 - Train Accuracy: 0.998, Validation Accuracy: 0.965, Loss: 0.039\n", "Epoch 32 Batch 28/78 - Train Accuracy: 0.985, Validation Accuracy: 0.965, Loss: 0.050\n", "Epoch 32 Batch 29/78 - Train Accuracy: 0.980, Validation Accuracy: 0.972, Loss: 0.038\n", "Epoch 32 Batch 30/78 - Train Accuracy: 0.990, Validation Accuracy: 0.972, Loss: 0.036\n", "Epoch 32 Batch 31/78 - Train Accuracy: 0.998, Validation Accuracy: 0.980, Loss: 0.032\n", "Epoch 32 Batch 32/78 - Train Accuracy: 0.996, Validation Accuracy: 0.979, Loss: 0.039\n", "Epoch 32 Batch 33/78 - Train Accuracy: 0.984, Validation Accuracy: 0.980, Loss: 0.047\n", "Epoch 32 Batch 34/78 - Train Accuracy: 0.984, Validation Accuracy: 0.978, Loss: 0.037\n", "Epoch 32 Batch 35/78 - Train Accuracy: 0.989, Validation Accuracy: 0.977, Loss: 0.038\n", "Epoch 32 Batch 36/78 - Train Accuracy: 0.980, Validation Accuracy: 0.980, Loss: 0.044\n", "Epoch 32 Batch 37/78 - Train Accuracy: 0.997, Validation Accuracy: 0.982, Loss: 0.041\n", "Epoch 32 Batch 38/78 - Train Accuracy: 0.997, Validation Accuracy: 0.985, Loss: 0.030\n", "Epoch 32 Batch 39/78 - Train Accuracy: 0.996, Validation Accuracy: 0.984, Loss: 0.037\n", "Epoch 32 Batch 40/78 - Train Accuracy: 0.997, Validation Accuracy: 0.984, Loss: 0.036\n", "Epoch 32 Batch 41/78 - Train Accuracy: 0.991, Validation Accuracy: 0.982, Loss: 0.039\n", "Epoch 32 Batch 42/78 - Train Accuracy: 0.977, Validation Accuracy: 0.982, Loss: 0.039\n", "Epoch 32 Batch 43/78 - Train Accuracy: 0.988, Validation Accuracy: 0.974, Loss: 0.041\n", "Epoch 32 Batch 44/78 - Train Accuracy: 0.997, Validation Accuracy: 0.979, Loss: 0.035\n", "Epoch 32 Batch 45/78 - Train Accuracy: 0.983, Validation Accuracy: 0.978, Loss: 0.039\n", "Epoch 32 Batch 46/78 - Train Accuracy: 0.985, Validation Accuracy: 0.975, Loss: 0.043\n", "Epoch 32 Batch 47/78 - Train Accuracy: 0.977, Validation Accuracy: 0.975, Loss: 0.046\n", "Epoch 32 Batch 48/78 - Train Accuracy: 0.992, Validation Accuracy: 0.977, Loss: 0.026\n", "Epoch 32 Batch 49/78 - Train Accuracy: 0.985, Validation Accuracy: 0.971, Loss: 0.045\n", "Epoch 32 Batch 50/78 - Train Accuracy: 0.990, Validation Accuracy: 0.970, Loss: 0.044\n", "Epoch 32 Batch 51/78 - Train Accuracy: 0.992, Validation Accuracy: 0.970, Loss: 0.029\n", "Epoch 32 Batch 52/78 - Train Accuracy: 0.998, Validation Accuracy: 0.969, Loss: 0.038\n", "Epoch 32 Batch 53/78 - Train Accuracy: 0.984, Validation Accuracy: 0.971, Loss: 0.032\n", "Epoch 32 Batch 54/78 - Train Accuracy: 0.989, Validation Accuracy: 0.974, Loss: 0.038\n", "Epoch 32 Batch 55/78 - Train Accuracy: 0.993, Validation Accuracy: 0.981, Loss: 0.042\n", "Epoch 32 Batch 56/78 - Train Accuracy: 0.982, Validation Accuracy: 0.975, Loss: 0.044\n", "Epoch 32 Batch 57/78 - Train Accuracy: 0.982, Validation Accuracy: 0.978, Loss: 0.042\n", "Epoch 32 Batch 58/78 - Train Accuracy: 0.983, Validation Accuracy: 0.974, Loss: 0.046\n", "Epoch 32 Batch 59/78 - Train Accuracy: 0.988, Validation Accuracy: 0.970, Loss: 0.041\n", "Epoch 32 Batch 60/78 - Train Accuracy: 0.992, Validation Accuracy: 0.975, Loss: 0.037\n", "Epoch 32 Batch 61/78 - Train Accuracy: 0.987, Validation Accuracy: 0.970, Loss: 0.043\n", "Epoch 32 Batch 62/78 - Train Accuracy: 0.997, Validation Accuracy: 0.974, Loss: 0.034\n", "Epoch 32 Batch 63/78 - Train Accuracy: 0.990, Validation Accuracy: 0.974, Loss: 0.034\n", "Epoch 32 Batch 64/78 - Train Accuracy: 0.987, Validation Accuracy: 0.977, Loss: 0.038\n", "Epoch 32 Batch 65/78 - Train Accuracy: 0.997, Validation Accuracy: 0.973, Loss: 0.035\n", "Epoch 32 Batch 66/78 - Train Accuracy: 0.989, Validation Accuracy: 0.972, Loss: 0.034\n", "Epoch 32 Batch 67/78 - Train Accuracy: 0.994, Validation Accuracy: 0.967, Loss: 0.027\n", "Epoch 32 Batch 68/78 - Train Accuracy: 0.996, Validation Accuracy: 0.969, Loss: 0.034\n", "Epoch 32 Batch 69/78 - Train Accuracy: 0.998, Validation Accuracy: 0.967, Loss: 0.030\n", "Epoch 32 Batch 70/78 - Train Accuracy: 0.991, Validation Accuracy: 0.968, Loss: 0.037\n", "Epoch 32 Batch 71/78 - Train Accuracy: 0.994, Validation Accuracy: 0.970, Loss: 0.031\n", "Epoch 32 Batch 72/78 - Train Accuracy: 0.994, Validation Accuracy: 0.965, Loss: 0.036\n", "Epoch 32 Batch 73/78 - Train Accuracy: 0.989, Validation Accuracy: 0.967, Loss: 0.044\n", "Epoch 32 Batch 74/78 - Train Accuracy: 0.997, Validation Accuracy: 0.968, Loss: 0.039\n", "Epoch 32 Batch 75/78 - Train Accuracy: 0.994, Validation Accuracy: 0.972, Loss: 0.033\n", "Epoch 32 Batch 76/78 - Train Accuracy: 0.990, Validation Accuracy: 0.972, Loss: 0.042\n", "Epoch 33 Batch 0/78 - Train Accuracy: 0.988, Validation Accuracy: 0.972, Loss: 0.037\n", "Epoch 33 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.972, Loss: 0.029\n", "Epoch 33 Batch 2/78 - Train Accuracy: 0.981, Validation Accuracy: 0.972, Loss: 0.032\n", "Epoch 33 Batch 3/78 - Train Accuracy: 0.974, Validation Accuracy: 0.977, Loss: 0.037\n", "Epoch 33 Batch 4/78 - Train Accuracy: 0.997, Validation Accuracy: 0.973, Loss: 0.032\n", "Epoch 33 Batch 5/78 - Train Accuracy: 0.981, Validation Accuracy: 0.974, Loss: 0.036\n", "Epoch 33 Batch 6/78 - Train Accuracy: 0.988, Validation Accuracy: 0.978, Loss: 0.034\n", "Epoch 33 Batch 7/78 - Train Accuracy: 0.994, Validation Accuracy: 0.980, Loss: 0.035\n", "Epoch 33 Batch 8/78 - Train Accuracy: 0.996, Validation Accuracy: 0.977, Loss: 0.033\n", "Epoch 33 Batch 9/78 - Train Accuracy: 0.992, Validation Accuracy: 0.977, Loss: 0.034\n", "Epoch 33 Batch 10/78 - Train Accuracy: 0.984, Validation Accuracy: 0.979, Loss: 0.041\n", "Epoch 33 Batch 11/78 - Train Accuracy: 0.989, Validation Accuracy: 0.973, Loss: 0.042\n", "Epoch 33 Batch 12/78 - Train Accuracy: 0.991, Validation Accuracy: 0.969, Loss: 0.037\n", "Epoch 33 Batch 13/78 - Train Accuracy: 0.991, Validation Accuracy: 0.971, Loss: 0.034\n", "Epoch 33 Batch 14/78 - Train Accuracy: 0.992, Validation Accuracy: 0.973, Loss: 0.027\n", "Epoch 33 Batch 15/78 - Train Accuracy: 0.988, Validation Accuracy: 0.972, Loss: 0.043\n", "Epoch 33 Batch 16/78 - Train Accuracy: 0.990, Validation Accuracy: 0.968, Loss: 0.034\n", "Epoch 33 Batch 17/78 - Train Accuracy: 0.984, Validation Accuracy: 0.969, Loss: 0.030\n", "Epoch 33 Batch 18/78 - Train Accuracy: 0.989, Validation Accuracy: 0.969, Loss: 0.043\n", "Epoch 33 Batch 19/78 - Train Accuracy: 0.994, Validation Accuracy: 0.970, Loss: 0.036\n", "Epoch 33 Batch 20/78 - Train Accuracy: 0.992, Validation Accuracy: 0.967, Loss: 0.030\n", "Epoch 33 Batch 21/78 - Train Accuracy: 0.993, Validation Accuracy: 0.961, Loss: 0.038\n", "Epoch 33 Batch 22/78 - Train Accuracy: 0.979, Validation Accuracy: 0.967, Loss: 0.046\n", "Epoch 33 Batch 23/78 - Train Accuracy: 0.994, Validation Accuracy: 0.964, Loss: 0.030\n", "Epoch 33 Batch 24/78 - Train Accuracy: 0.994, Validation Accuracy: 0.969, Loss: 0.033\n", "Epoch 33 Batch 25/78 - Train Accuracy: 0.984, Validation Accuracy: 0.969, Loss: 0.040\n", "Epoch 33 Batch 26/78 - Train Accuracy: 0.979, Validation Accuracy: 0.969, Loss: 0.046\n", "Epoch 33 Batch 27/78 - Train Accuracy: 0.998, Validation Accuracy: 0.967, Loss: 0.038\n", "Epoch 33 Batch 28/78 - Train Accuracy: 0.987, Validation Accuracy: 0.962, Loss: 0.044\n", "Epoch 33 Batch 29/78 - Train Accuracy: 0.983, Validation Accuracy: 0.965, Loss: 0.035\n", "Epoch 33 Batch 30/78 - Train Accuracy: 0.988, Validation Accuracy: 0.972, Loss: 0.034\n", "Epoch 33 Batch 31/78 - Train Accuracy: 0.997, Validation Accuracy: 0.977, Loss: 0.029\n", "Epoch 33 Batch 32/78 - Train Accuracy: 0.996, Validation Accuracy: 0.982, Loss: 0.035\n", "Epoch 33 Batch 33/78 - Train Accuracy: 0.982, Validation Accuracy: 0.980, Loss: 0.043\n", "Epoch 33 Batch 34/78 - Train Accuracy: 0.990, Validation Accuracy: 0.980, Loss: 0.035\n", "Epoch 33 Batch 35/78 - Train Accuracy: 0.994, Validation Accuracy: 0.974, Loss: 0.035\n", "Epoch 33 Batch 36/78 - Train Accuracy: 0.981, Validation Accuracy: 0.977, Loss: 0.041\n", "Epoch 33 Batch 37/78 - Train Accuracy: 0.997, Validation Accuracy: 0.980, Loss: 0.038\n", "Epoch 33 Batch 38/78 - Train Accuracy: 0.997, Validation Accuracy: 0.980, Loss: 0.028\n", "Epoch 33 Batch 39/78 - Train Accuracy: 0.996, Validation Accuracy: 0.981, Loss: 0.036\n", "Epoch 33 Batch 40/78 - Train Accuracy: 0.997, Validation Accuracy: 0.981, Loss: 0.033\n", "Epoch 33 Batch 41/78 - Train Accuracy: 0.991, Validation Accuracy: 0.980, Loss: 0.036\n", "Epoch 33 Batch 42/78 - Train Accuracy: 0.983, Validation Accuracy: 0.982, Loss: 0.036\n", "Epoch 33 Batch 43/78 - Train Accuracy: 0.990, Validation Accuracy: 0.979, Loss: 0.038\n", "Epoch 33 Batch 44/78 - Train Accuracy: 0.997, Validation Accuracy: 0.981, Loss: 0.034\n", "Epoch 33 Batch 45/78 - Train Accuracy: 0.983, Validation Accuracy: 0.977, Loss: 0.036\n", "Epoch 33 Batch 46/78 - Train Accuracy: 0.985, Validation Accuracy: 0.977, Loss: 0.040\n", "Epoch 33 Batch 47/78 - Train Accuracy: 0.983, Validation Accuracy: 0.978, Loss: 0.045\n", "Epoch 33 Batch 48/78 - Train Accuracy: 0.996, Validation Accuracy: 0.975, Loss: 0.024\n", "Epoch 33 Batch 49/78 - Train Accuracy: 0.988, Validation Accuracy: 0.972, Loss: 0.040\n", "Epoch 33 Batch 50/78 - Train Accuracy: 0.989, Validation Accuracy: 0.975, Loss: 0.040\n", "Epoch 33 Batch 51/78 - Train Accuracy: 0.997, Validation Accuracy: 0.973, Loss: 0.028\n", "Epoch 33 Batch 52/78 - Train Accuracy: 0.998, Validation Accuracy: 0.978, Loss: 0.036\n", "Epoch 33 Batch 53/78 - Train Accuracy: 0.984, Validation Accuracy: 0.981, Loss: 0.030\n", "Epoch 33 Batch 54/78 - Train Accuracy: 0.989, Validation Accuracy: 0.982, Loss: 0.034\n", "Epoch 33 Batch 55/78 - Train Accuracy: 0.993, Validation Accuracy: 0.981, Loss: 0.039\n", "Epoch 33 Batch 56/78 - Train Accuracy: 0.982, Validation Accuracy: 0.978, Loss: 0.040\n", "Epoch 33 Batch 57/78 - Train Accuracy: 0.987, Validation Accuracy: 0.978, Loss: 0.040\n", "Epoch 33 Batch 58/78 - Train Accuracy: 0.990, Validation Accuracy: 0.972, Loss: 0.042\n", "Epoch 33 Batch 59/78 - Train Accuracy: 0.988, Validation Accuracy: 0.972, Loss: 0.037\n", "Epoch 33 Batch 60/78 - Train Accuracy: 0.994, Validation Accuracy: 0.973, Loss: 0.034\n", "Epoch 33 Batch 61/78 - Train Accuracy: 0.988, Validation Accuracy: 0.978, Loss: 0.041\n", "Epoch 33 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.030\n", "Epoch 33 Batch 63/78 - Train Accuracy: 0.990, Validation Accuracy: 0.974, Loss: 0.031\n", "Epoch 33 Batch 64/78 - Train Accuracy: 0.988, Validation Accuracy: 0.974, Loss: 0.036\n", "Epoch 33 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.974, Loss: 0.032\n", "Epoch 33 Batch 66/78 - Train Accuracy: 0.989, Validation Accuracy: 0.974, Loss: 0.030\n", "Epoch 33 Batch 67/78 - Train Accuracy: 0.997, Validation Accuracy: 0.971, Loss: 0.025\n", "Epoch 33 Batch 68/78 - Train Accuracy: 0.996, Validation Accuracy: 0.972, Loss: 0.032\n", "Epoch 33 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.972, Loss: 0.027\n", "Epoch 33 Batch 70/78 - Train Accuracy: 0.996, Validation Accuracy: 0.977, Loss: 0.034\n", "Epoch 33 Batch 71/78 - Train Accuracy: 0.998, Validation Accuracy: 0.974, Loss: 0.029\n", "Epoch 33 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.973, Loss: 0.033\n", "Epoch 33 Batch 73/78 - Train Accuracy: 0.993, Validation Accuracy: 0.967, Loss: 0.040\n", "Epoch 33 Batch 74/78 - Train Accuracy: 0.998, Validation Accuracy: 0.964, Loss: 0.035\n", "Epoch 33 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.965, Loss: 0.031\n", "Epoch 33 Batch 76/78 - Train Accuracy: 0.989, Validation Accuracy: 0.972, Loss: 0.039\n", "Epoch 34 Batch 0/78 - Train Accuracy: 0.988, Validation Accuracy: 0.980, Loss: 0.034\n", "Epoch 34 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.978, Loss: 0.026\n", "Epoch 34 Batch 2/78 - Train Accuracy: 0.989, Validation Accuracy: 0.978, Loss: 0.030\n", "Epoch 34 Batch 3/78 - Train Accuracy: 0.978, Validation Accuracy: 0.977, Loss: 0.036\n", "Epoch 34 Batch 4/78 - Train Accuracy: 0.997, Validation Accuracy: 0.975, Loss: 0.030\n", "Epoch 34 Batch 5/78 - Train Accuracy: 0.981, Validation Accuracy: 0.973, Loss: 0.034\n", "Epoch 34 Batch 6/78 - Train Accuracy: 0.989, Validation Accuracy: 0.974, Loss: 0.032\n", "Epoch 34 Batch 7/78 - Train Accuracy: 0.993, Validation Accuracy: 0.980, Loss: 0.034\n", "Epoch 34 Batch 8/78 - Train Accuracy: 0.996, Validation Accuracy: 0.979, Loss: 0.031\n", "Epoch 34 Batch 9/78 - Train Accuracy: 0.996, Validation Accuracy: 0.978, Loss: 0.031\n", "Epoch 34 Batch 10/78 - Train Accuracy: 0.984, Validation Accuracy: 0.977, Loss: 0.037\n", "Epoch 34 Batch 11/78 - Train Accuracy: 0.993, Validation Accuracy: 0.977, Loss: 0.040\n", "Epoch 34 Batch 12/78 - Train Accuracy: 0.989, Validation Accuracy: 0.970, Loss: 0.035\n", "Epoch 34 Batch 13/78 - Train Accuracy: 0.993, Validation Accuracy: 0.972, Loss: 0.032\n", "Epoch 34 Batch 14/78 - Train Accuracy: 0.999, Validation Accuracy: 0.971, Loss: 0.024\n", "Epoch 34 Batch 15/78 - Train Accuracy: 0.984, Validation Accuracy: 0.972, Loss: 0.040\n", "Epoch 34 Batch 16/78 - Train Accuracy: 0.990, Validation Accuracy: 0.970, Loss: 0.031\n", "Epoch 34 Batch 17/78 - Train Accuracy: 0.982, Validation Accuracy: 0.969, Loss: 0.028\n", "Epoch 34 Batch 18/78 - Train Accuracy: 0.996, Validation Accuracy: 0.971, Loss: 0.039\n", "Epoch 34 Batch 19/78 - Train Accuracy: 0.998, Validation Accuracy: 0.969, Loss: 0.034\n", "Epoch 34 Batch 20/78 - Train Accuracy: 0.992, Validation Accuracy: 0.963, Loss: 0.028\n", "Epoch 34 Batch 21/78 - Train Accuracy: 0.993, Validation Accuracy: 0.964, Loss: 0.035\n", "Epoch 34 Batch 22/78 - Train Accuracy: 0.979, Validation Accuracy: 0.962, Loss: 0.042\n", "Epoch 34 Batch 23/78 - Train Accuracy: 0.994, Validation Accuracy: 0.964, Loss: 0.027\n", "Epoch 34 Batch 24/78 - Train Accuracy: 0.994, Validation Accuracy: 0.974, Loss: 0.032\n", "Epoch 34 Batch 25/78 - Train Accuracy: 0.985, Validation Accuracy: 0.971, Loss: 0.036\n", "Epoch 34 Batch 26/78 - Train Accuracy: 0.979, Validation Accuracy: 0.970, Loss: 0.042\n", "Epoch 34 Batch 27/78 - Train Accuracy: 0.998, Validation Accuracy: 0.968, Loss: 0.035\n", "Epoch 34 Batch 28/78 - Train Accuracy: 0.989, Validation Accuracy: 0.963, Loss: 0.040\n", "Epoch 34 Batch 29/78 - Train Accuracy: 0.984, Validation Accuracy: 0.962, Loss: 0.031\n", "Epoch 34 Batch 30/78 - Train Accuracy: 0.993, Validation Accuracy: 0.969, Loss: 0.031\n", "Epoch 34 Batch 31/78 - Train Accuracy: 0.997, Validation Accuracy: 0.974, Loss: 0.027\n", "Epoch 34 Batch 32/78 - Train Accuracy: 0.996, Validation Accuracy: 0.977, Loss: 0.032\n", "Epoch 34 Batch 33/78 - Train Accuracy: 0.987, Validation Accuracy: 0.984, Loss: 0.039\n", "Epoch 34 Batch 34/78 - Train Accuracy: 0.996, Validation Accuracy: 0.979, Loss: 0.032\n", "Epoch 34 Batch 35/78 - Train Accuracy: 0.994, Validation Accuracy: 0.983, Loss: 0.033\n", "Epoch 34 Batch 36/78 - Train Accuracy: 0.979, Validation Accuracy: 0.978, Loss: 0.039\n", "Epoch 34 Batch 37/78 - Train Accuracy: 0.997, Validation Accuracy: 0.974, Loss: 0.034\n", "Epoch 34 Batch 38/78 - Train Accuracy: 0.994, Validation Accuracy: 0.973, Loss: 0.026\n", "Epoch 34 Batch 39/78 - Train Accuracy: 0.996, Validation Accuracy: 0.972, Loss: 0.035\n", "Epoch 34 Batch 40/78 - Train Accuracy: 0.999, Validation Accuracy: 0.981, Loss: 0.029\n", "Epoch 34 Batch 41/78 - Train Accuracy: 0.994, Validation Accuracy: 0.984, Loss: 0.032\n", "Epoch 34 Batch 42/78 - Train Accuracy: 0.983, Validation Accuracy: 0.981, Loss: 0.034\n", "Epoch 34 Batch 43/78 - Train Accuracy: 0.994, Validation Accuracy: 0.981, Loss: 0.034\n", "Epoch 34 Batch 44/78 - Train Accuracy: 0.994, Validation Accuracy: 0.984, Loss: 0.031\n", "Epoch 34 Batch 45/78 - Train Accuracy: 0.991, Validation Accuracy: 0.981, Loss: 0.034\n", "Epoch 34 Batch 46/78 - Train Accuracy: 0.988, Validation Accuracy: 0.975, Loss: 0.036\n", "Epoch 34 Batch 47/78 - Train Accuracy: 0.985, Validation Accuracy: 0.977, Loss: 0.041\n", "Epoch 34 Batch 48/78 - Train Accuracy: 0.992, Validation Accuracy: 0.973, Loss: 0.023\n", "Epoch 34 Batch 49/78 - Train Accuracy: 0.990, Validation Accuracy: 0.977, Loss: 0.037\n", "Epoch 34 Batch 50/78 - Train Accuracy: 0.991, Validation Accuracy: 0.977, Loss: 0.036\n", "Epoch 34 Batch 51/78 - Train Accuracy: 0.997, Validation Accuracy: 0.975, Loss: 0.025\n", "Epoch 34 Batch 52/78 - Train Accuracy: 0.998, Validation Accuracy: 0.975, Loss: 0.034\n", "Epoch 34 Batch 53/78 - Train Accuracy: 0.988, Validation Accuracy: 0.978, Loss: 0.028\n", "Epoch 34 Batch 54/78 - Train Accuracy: 0.989, Validation Accuracy: 0.982, Loss: 0.031\n", "Epoch 34 Batch 55/78 - Train Accuracy: 0.993, Validation Accuracy: 0.981, Loss: 0.036\n", "Epoch 34 Batch 56/78 - Train Accuracy: 0.982, Validation Accuracy: 0.979, Loss: 0.038\n", "Epoch 34 Batch 57/78 - Train Accuracy: 0.988, Validation Accuracy: 0.982, Loss: 0.038\n", "Epoch 34 Batch 58/78 - Train Accuracy: 0.997, Validation Accuracy: 0.974, Loss: 0.038\n", "Epoch 34 Batch 59/78 - Train Accuracy: 0.990, Validation Accuracy: 0.972, Loss: 0.034\n", "Epoch 34 Batch 60/78 - Train Accuracy: 0.994, Validation Accuracy: 0.972, Loss: 0.032\n", "Epoch 34 Batch 61/78 - Train Accuracy: 0.984, Validation Accuracy: 0.978, Loss: 0.039\n", "Epoch 34 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.028\n", "Epoch 34 Batch 63/78 - Train Accuracy: 0.994, Validation Accuracy: 0.977, Loss: 0.028\n", "Epoch 34 Batch 64/78 - Train Accuracy: 0.988, Validation Accuracy: 0.975, Loss: 0.035\n", "Epoch 34 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.972, Loss: 0.030\n", "Epoch 34 Batch 66/78 - Train Accuracy: 0.987, Validation Accuracy: 0.971, Loss: 0.027\n", "Epoch 34 Batch 67/78 - Train Accuracy: 0.994, Validation Accuracy: 0.971, Loss: 0.024\n", "Epoch 34 Batch 68/78 - Train Accuracy: 0.996, Validation Accuracy: 0.971, Loss: 0.029\n", "Epoch 34 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.972, Loss: 0.025\n", "Epoch 34 Batch 70/78 - Train Accuracy: 0.996, Validation Accuracy: 0.975, Loss: 0.031\n", "Epoch 34 Batch 71/78 - Train Accuracy: 0.998, Validation Accuracy: 0.977, Loss: 0.026\n", "Epoch 34 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.030\n", "Epoch 34 Batch 73/78 - Train Accuracy: 0.997, Validation Accuracy: 0.973, Loss: 0.038\n", "Epoch 34 Batch 74/78 - Train Accuracy: 0.998, Validation Accuracy: 0.970, Loss: 0.032\n", "Epoch 34 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.969, Loss: 0.030\n", "Epoch 34 Batch 76/78 - Train Accuracy: 0.990, Validation Accuracy: 0.977, Loss: 0.038\n", "Epoch 35 Batch 0/78 - Train Accuracy: 0.989, Validation Accuracy: 0.978, Loss: 0.031\n", "Epoch 35 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.978, Loss: 0.024\n", "Epoch 35 Batch 2/78 - Train Accuracy: 0.994, Validation Accuracy: 0.978, Loss: 0.028\n", "Epoch 35 Batch 3/78 - Train Accuracy: 0.982, Validation Accuracy: 0.977, Loss: 0.034\n", "Epoch 35 Batch 4/78 - Train Accuracy: 0.997, Validation Accuracy: 0.975, Loss: 0.028\n", "Epoch 35 Batch 5/78 - Train Accuracy: 0.989, Validation Accuracy: 0.973, Loss: 0.032\n", "Epoch 35 Batch 6/78 - Train Accuracy: 0.989, Validation Accuracy: 0.974, Loss: 0.029\n", "Epoch 35 Batch 7/78 - Train Accuracy: 0.997, Validation Accuracy: 0.975, Loss: 0.032\n", "Epoch 35 Batch 8/78 - Train Accuracy: 0.993, Validation Accuracy: 0.981, Loss: 0.029\n", "Epoch 35 Batch 9/78 - Train Accuracy: 0.996, Validation Accuracy: 0.977, Loss: 0.029\n", "Epoch 35 Batch 10/78 - Train Accuracy: 0.984, Validation Accuracy: 0.978, Loss: 0.033\n", "Epoch 35 Batch 11/78 - Train Accuracy: 0.993, Validation Accuracy: 0.979, Loss: 0.038\n", "Epoch 35 Batch 12/78 - Train Accuracy: 0.989, Validation Accuracy: 0.974, Loss: 0.035\n", "Epoch 35 Batch 13/78 - Train Accuracy: 0.993, Validation Accuracy: 0.972, Loss: 0.029\n", "Epoch 35 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.969, Loss: 0.022\n", "Epoch 35 Batch 15/78 - Train Accuracy: 0.988, Validation Accuracy: 0.967, Loss: 0.040\n", "Epoch 35 Batch 16/78 - Train Accuracy: 0.990, Validation Accuracy: 0.973, Loss: 0.030\n", "Epoch 35 Batch 17/78 - Train Accuracy: 0.988, Validation Accuracy: 0.969, Loss: 0.026\n", "Epoch 35 Batch 18/78 - Train Accuracy: 0.994, Validation Accuracy: 0.970, Loss: 0.036\n", "Epoch 35 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.968, Loss: 0.033\n", "Epoch 35 Batch 20/78 - Train Accuracy: 0.992, Validation Accuracy: 0.963, Loss: 0.026\n", "Epoch 35 Batch 21/78 - Train Accuracy: 0.990, Validation Accuracy: 0.958, Loss: 0.033\n", "Epoch 35 Batch 22/78 - Train Accuracy: 0.979, Validation Accuracy: 0.959, Loss: 0.039\n", "Epoch 35 Batch 23/78 - Train Accuracy: 0.994, Validation Accuracy: 0.963, Loss: 0.025\n", "Epoch 35 Batch 24/78 - Train Accuracy: 0.994, Validation Accuracy: 0.971, Loss: 0.030\n", "Epoch 35 Batch 25/78 - Train Accuracy: 0.985, Validation Accuracy: 0.973, Loss: 0.033\n", "Epoch 35 Batch 26/78 - Train Accuracy: 0.979, Validation Accuracy: 0.974, Loss: 0.039\n", "Epoch 35 Batch 27/78 - Train Accuracy: 0.993, Validation Accuracy: 0.970, Loss: 0.032\n", "Epoch 35 Batch 28/78 - Train Accuracy: 0.989, Validation Accuracy: 0.968, Loss: 0.037\n", "Epoch 35 Batch 29/78 - Train Accuracy: 0.989, Validation Accuracy: 0.968, Loss: 0.029\n", "Epoch 35 Batch 30/78 - Train Accuracy: 0.998, Validation Accuracy: 0.965, Loss: 0.029\n", "Epoch 35 Batch 31/78 - Train Accuracy: 0.993, Validation Accuracy: 0.972, Loss: 0.025\n", "Epoch 35 Batch 32/78 - Train Accuracy: 0.996, Validation Accuracy: 0.978, Loss: 0.031\n", "Epoch 35 Batch 33/78 - Train Accuracy: 0.996, Validation Accuracy: 0.982, Loss: 0.035\n", "Epoch 35 Batch 34/78 - Train Accuracy: 0.993, Validation Accuracy: 0.981, Loss: 0.028\n", "Epoch 35 Batch 35/78 - Train Accuracy: 0.994, Validation Accuracy: 0.985, Loss: 0.031\n", "Epoch 35 Batch 36/78 - Train Accuracy: 0.988, Validation Accuracy: 0.979, Loss: 0.037\n", "Epoch 35 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.031\n", "Epoch 35 Batch 38/78 - Train Accuracy: 0.994, Validation Accuracy: 0.979, Loss: 0.023\n", "Epoch 35 Batch 39/78 - Train Accuracy: 0.996, Validation Accuracy: 0.977, Loss: 0.032\n", "Epoch 35 Batch 40/78 - Train Accuracy: 0.998, Validation Accuracy: 0.979, Loss: 0.027\n", "Epoch 35 Batch 41/78 - Train Accuracy: 0.994, Validation Accuracy: 0.983, Loss: 0.030\n", "Epoch 35 Batch 42/78 - Train Accuracy: 0.983, Validation Accuracy: 0.984, Loss: 0.031\n", "Epoch 35 Batch 43/78 - Train Accuracy: 0.994, Validation Accuracy: 0.982, Loss: 0.031\n", "Epoch 35 Batch 44/78 - Train Accuracy: 0.994, Validation Accuracy: 0.983, Loss: 0.030\n", "Epoch 35 Batch 45/78 - Train Accuracy: 0.991, Validation Accuracy: 0.988, Loss: 0.033\n", "Epoch 35 Batch 46/78 - Train Accuracy: 0.988, Validation Accuracy: 0.982, Loss: 0.034\n", "Epoch 35 Batch 47/78 - Train Accuracy: 0.982, Validation Accuracy: 0.971, Loss: 0.036\n", "Epoch 35 Batch 48/78 - Train Accuracy: 0.996, Validation Accuracy: 0.974, Loss: 0.023\n", "Epoch 35 Batch 49/78 - Train Accuracy: 0.990, Validation Accuracy: 0.970, Loss: 0.037\n", "Epoch 35 Batch 50/78 - Train Accuracy: 0.992, Validation Accuracy: 0.974, Loss: 0.034\n", "Epoch 35 Batch 51/78 - Train Accuracy: 0.994, Validation Accuracy: 0.974, Loss: 0.025\n", "Epoch 35 Batch 52/78 - Train Accuracy: 0.998, Validation Accuracy: 0.978, Loss: 0.034\n", "Epoch 35 Batch 53/78 - Train Accuracy: 0.988, Validation Accuracy: 0.979, Loss: 0.027\n", "Epoch 35 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.030\n", "Epoch 35 Batch 55/78 - Train Accuracy: 0.993, Validation Accuracy: 0.983, Loss: 0.036\n", "Epoch 35 Batch 56/78 - Train Accuracy: 0.987, Validation Accuracy: 0.984, Loss: 0.036\n", "Epoch 35 Batch 57/78 - Train Accuracy: 0.985, Validation Accuracy: 0.978, Loss: 0.034\n", "Epoch 35 Batch 58/78 - Train Accuracy: 0.994, Validation Accuracy: 0.975, Loss: 0.037\n", "Epoch 35 Batch 59/78 - Train Accuracy: 0.990, Validation Accuracy: 0.974, Loss: 0.030\n", "Epoch 35 Batch 60/78 - Train Accuracy: 0.994, Validation Accuracy: 0.973, Loss: 0.028\n", "Epoch 35 Batch 61/78 - Train Accuracy: 0.988, Validation Accuracy: 0.974, Loss: 0.036\n", "Epoch 35 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.027\n", "Epoch 35 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.024\n", "Epoch 35 Batch 64/78 - Train Accuracy: 0.991, Validation Accuracy: 0.980, Loss: 0.031\n", "Epoch 35 Batch 65/78 - Train Accuracy: 0.997, Validation Accuracy: 0.978, Loss: 0.029\n", "Epoch 35 Batch 66/78 - Train Accuracy: 0.991, Validation Accuracy: 0.975, Loss: 0.027\n", "Epoch 35 Batch 67/78 - Train Accuracy: 0.997, Validation Accuracy: 0.972, Loss: 0.023\n", "Epoch 35 Batch 68/78 - Train Accuracy: 0.996, Validation Accuracy: 0.970, Loss: 0.026\n", "Epoch 35 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.969, Loss: 0.023\n", "Epoch 35 Batch 70/78 - Train Accuracy: 0.994, Validation Accuracy: 0.972, Loss: 0.030\n", "Epoch 35 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.974, Loss: 0.024\n", "Epoch 35 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.974, Loss: 0.028\n", "Epoch 35 Batch 73/78 - Train Accuracy: 0.997, Validation Accuracy: 0.974, Loss: 0.034\n", "Epoch 35 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.972, Loss: 0.030\n", "Epoch 35 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.970, Loss: 0.025\n", "Epoch 35 Batch 76/78 - Train Accuracy: 0.994, Validation Accuracy: 0.971, Loss: 0.033\n", "Epoch 36 Batch 0/78 - Train Accuracy: 0.987, Validation Accuracy: 0.974, Loss: 0.029\n", "Epoch 36 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.977, Loss: 0.022\n", "Epoch 36 Batch 2/78 - Train Accuracy: 0.997, Validation Accuracy: 0.979, Loss: 0.025\n", "Epoch 36 Batch 3/78 - Train Accuracy: 0.983, Validation Accuracy: 0.979, Loss: 0.030\n", "Epoch 36 Batch 4/78 - Train Accuracy: 0.997, Validation Accuracy: 0.978, Loss: 0.024\n", "Epoch 36 Batch 5/78 - Train Accuracy: 0.989, Validation Accuracy: 0.977, Loss: 0.030\n", "Epoch 36 Batch 6/78 - Train Accuracy: 0.992, Validation Accuracy: 0.972, Loss: 0.025\n", "Epoch 36 Batch 7/78 - Train Accuracy: 0.997, Validation Accuracy: 0.977, Loss: 0.027\n", "Epoch 36 Batch 8/78 - Train Accuracy: 0.993, Validation Accuracy: 0.978, Loss: 0.027\n", "Epoch 36 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.026\n", "Epoch 36 Batch 10/78 - Train Accuracy: 0.989, Validation Accuracy: 0.979, Loss: 0.030\n", "Epoch 36 Batch 11/78 - Train Accuracy: 0.993, Validation Accuracy: 0.980, Loss: 0.033\n", "Epoch 36 Batch 12/78 - Train Accuracy: 0.989, Validation Accuracy: 0.978, Loss: 0.032\n", "Epoch 36 Batch 13/78 - Train Accuracy: 0.996, Validation Accuracy: 0.979, Loss: 0.028\n", "Epoch 36 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.971, Loss: 0.020\n", "Epoch 36 Batch 15/78 - Train Accuracy: 0.991, Validation Accuracy: 0.969, Loss: 0.034\n", "Epoch 36 Batch 16/78 - Train Accuracy: 0.994, Validation Accuracy: 0.968, Loss: 0.029\n", "Epoch 36 Batch 17/78 - Train Accuracy: 0.988, Validation Accuracy: 0.971, Loss: 0.024\n", "Epoch 36 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.971, Loss: 0.032\n", "Epoch 36 Batch 19/78 - Train Accuracy: 0.998, Validation Accuracy: 0.973, Loss: 0.029\n", "Epoch 36 Batch 20/78 - Train Accuracy: 0.992, Validation Accuracy: 0.973, Loss: 0.027\n", "Epoch 36 Batch 21/78 - Train Accuracy: 0.990, Validation Accuracy: 0.964, Loss: 0.032\n", "Epoch 36 Batch 22/78 - Train Accuracy: 0.979, Validation Accuracy: 0.969, Loss: 0.037\n", "Epoch 36 Batch 23/78 - Train Accuracy: 0.997, Validation Accuracy: 0.960, Loss: 0.023\n", "Epoch 36 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.970, Loss: 0.031\n", "Epoch 36 Batch 25/78 - Train Accuracy: 0.985, Validation Accuracy: 0.980, Loss: 0.032\n", "Epoch 36 Batch 26/78 - Train Accuracy: 0.979, Validation Accuracy: 0.981, Loss: 0.036\n", "Epoch 36 Batch 27/78 - Train Accuracy: 0.992, Validation Accuracy: 0.981, Loss: 0.032\n", "Epoch 36 Batch 28/78 - Train Accuracy: 0.991, Validation Accuracy: 0.979, Loss: 0.037\n", "Epoch 36 Batch 29/78 - Train Accuracy: 0.989, Validation Accuracy: 0.969, Loss: 0.027\n", "Epoch 36 Batch 30/78 - Train Accuracy: 0.998, Validation Accuracy: 0.964, Loss: 0.026\n", "Epoch 36 Batch 31/78 - Train Accuracy: 0.993, Validation Accuracy: 0.969, Loss: 0.024\n", "Epoch 36 Batch 32/78 - Train Accuracy: 0.994, Validation Accuracy: 0.973, Loss: 0.030\n", "Epoch 36 Batch 33/78 - Train Accuracy: 0.993, Validation Accuracy: 0.977, Loss: 0.034\n", "Epoch 36 Batch 34/78 - Train Accuracy: 0.993, Validation Accuracy: 0.980, Loss: 0.026\n", "Epoch 36 Batch 35/78 - Train Accuracy: 0.992, Validation Accuracy: 0.987, Loss: 0.031\n", "Epoch 36 Batch 36/78 - Train Accuracy: 0.988, Validation Accuracy: 0.984, Loss: 0.036\n", "Epoch 36 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.029\n", "Epoch 36 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.022\n", "Epoch 36 Batch 39/78 - Train Accuracy: 0.996, Validation Accuracy: 0.980, Loss: 0.030\n", "Epoch 36 Batch 40/78 - Train Accuracy: 0.999, Validation Accuracy: 0.980, Loss: 0.025\n", "Epoch 36 Batch 41/78 - Train Accuracy: 0.994, Validation Accuracy: 0.985, Loss: 0.029\n", "Epoch 36 Batch 42/78 - Train Accuracy: 0.983, Validation Accuracy: 0.987, Loss: 0.029\n", "Epoch 36 Batch 43/78 - Train Accuracy: 0.997, Validation Accuracy: 0.985, Loss: 0.030\n", "Epoch 36 Batch 44/78 - Train Accuracy: 0.997, Validation Accuracy: 0.988, Loss: 0.029\n", "Epoch 36 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.985, Loss: 0.031\n", "Epoch 36 Batch 46/78 - Train Accuracy: 0.992, Validation Accuracy: 0.982, Loss: 0.033\n", "Epoch 36 Batch 47/78 - Train Accuracy: 0.984, Validation Accuracy: 0.977, Loss: 0.033\n", "Epoch 36 Batch 48/78 - Train Accuracy: 0.992, Validation Accuracy: 0.970, Loss: 0.020\n", "Epoch 36 Batch 49/78 - Train Accuracy: 0.996, Validation Accuracy: 0.971, Loss: 0.034\n", "Epoch 36 Batch 50/78 - Train Accuracy: 0.992, Validation Accuracy: 0.977, Loss: 0.032\n", "Epoch 36 Batch 51/78 - Train Accuracy: 0.994, Validation Accuracy: 0.973, Loss: 0.022\n", "Epoch 36 Batch 52/78 - Train Accuracy: 0.998, Validation Accuracy: 0.978, Loss: 0.031\n", "Epoch 36 Batch 53/78 - Train Accuracy: 0.991, Validation Accuracy: 0.981, Loss: 0.023\n", "Epoch 36 Batch 54/78 - Train Accuracy: 0.998, Validation Accuracy: 0.982, Loss: 0.028\n", "Epoch 36 Batch 55/78 - Train Accuracy: 0.993, Validation Accuracy: 0.981, Loss: 0.031\n", "Epoch 36 Batch 56/78 - Train Accuracy: 0.988, Validation Accuracy: 0.984, Loss: 0.033\n", "Epoch 36 Batch 57/78 - Train Accuracy: 0.984, Validation Accuracy: 0.980, Loss: 0.031\n", "Epoch 36 Batch 58/78 - Train Accuracy: 0.997, Validation Accuracy: 0.983, Loss: 0.034\n", "Epoch 36 Batch 59/78 - Train Accuracy: 0.990, Validation Accuracy: 0.978, Loss: 0.028\n", "Epoch 36 Batch 60/78 - Train Accuracy: 0.994, Validation Accuracy: 0.977, Loss: 0.026\n", "Epoch 36 Batch 61/78 - Train Accuracy: 0.988, Validation Accuracy: 0.975, Loss: 0.031\n", "Epoch 36 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.025\n", "Epoch 36 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.024\n", "Epoch 36 Batch 64/78 - Train Accuracy: 0.991, Validation Accuracy: 0.979, Loss: 0.028\n", "Epoch 36 Batch 65/78 - Train Accuracy: 0.998, Validation Accuracy: 0.981, Loss: 0.026\n", "Epoch 36 Batch 66/78 - Train Accuracy: 0.992, Validation Accuracy: 0.974, Loss: 0.025\n", "Epoch 36 Batch 67/78 - Train Accuracy: 0.998, Validation Accuracy: 0.972, Loss: 0.022\n", "Epoch 36 Batch 68/78 - Train Accuracy: 0.998, Validation Accuracy: 0.978, Loss: 0.025\n", "Epoch 36 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.970, Loss: 0.021\n", "Epoch 36 Batch 70/78 - Train Accuracy: 0.997, Validation Accuracy: 0.968, Loss: 0.026\n", "Epoch 36 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.968, Loss: 0.023\n", "Epoch 36 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.972, Loss: 0.026\n", "Epoch 36 Batch 73/78 - Train Accuracy: 0.997, Validation Accuracy: 0.977, Loss: 0.035\n", "Epoch 36 Batch 74/78 - Train Accuracy: 0.996, Validation Accuracy: 0.975, Loss: 0.028\n", "Epoch 36 Batch 75/78 - Train Accuracy: 0.993, Validation Accuracy: 0.975, Loss: 0.025\n", "Epoch 36 Batch 76/78 - Train Accuracy: 0.997, Validation Accuracy: 0.975, Loss: 0.032\n", "Epoch 37 Batch 0/78 - Train Accuracy: 0.989, Validation Accuracy: 0.971, Loss: 0.027\n", "Epoch 37 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.969, Loss: 0.021\n", "Epoch 37 Batch 2/78 - Train Accuracy: 0.997, Validation Accuracy: 0.974, Loss: 0.024\n", "Epoch 37 Batch 3/78 - Train Accuracy: 0.984, Validation Accuracy: 0.974, Loss: 0.028\n", "Epoch 37 Batch 4/78 - Train Accuracy: 0.997, Validation Accuracy: 0.973, Loss: 0.024\n", "Epoch 37 Batch 5/78 - Train Accuracy: 0.984, Validation Accuracy: 0.977, Loss: 0.028\n", "Epoch 37 Batch 6/78 - Train Accuracy: 0.996, Validation Accuracy: 0.979, Loss: 0.025\n", "Epoch 37 Batch 7/78 - Train Accuracy: 0.994, Validation Accuracy: 0.979, Loss: 0.024\n", "Epoch 37 Batch 8/78 - Train Accuracy: 0.998, Validation Accuracy: 0.980, Loss: 0.024\n", "Epoch 37 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.024\n", "Epoch 37 Batch 10/78 - Train Accuracy: 0.989, Validation Accuracy: 0.981, Loss: 0.029\n", "Epoch 37 Batch 11/78 - Train Accuracy: 0.996, Validation Accuracy: 0.983, Loss: 0.031\n", "Epoch 37 Batch 12/78 - Train Accuracy: 0.994, Validation Accuracy: 0.988, Loss: 0.028\n", "Epoch 37 Batch 13/78 - Train Accuracy: 0.996, Validation Accuracy: 0.980, Loss: 0.025\n", "Epoch 37 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.019\n", "Epoch 37 Batch 15/78 - Train Accuracy: 0.997, Validation Accuracy: 0.980, Loss: 0.030\n", "Epoch 37 Batch 16/78 - Train Accuracy: 0.994, Validation Accuracy: 0.969, Loss: 0.025\n", "Epoch 37 Batch 17/78 - Train Accuracy: 0.991, Validation Accuracy: 0.967, Loss: 0.023\n", "Epoch 37 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.969, Loss: 0.032\n", "Epoch 37 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.971, Loss: 0.027\n", "Epoch 37 Batch 20/78 - Train Accuracy: 0.996, Validation Accuracy: 0.973, Loss: 0.023\n", "Epoch 37 Batch 21/78 - Train Accuracy: 0.997, Validation Accuracy: 0.973, Loss: 0.027\n", "Epoch 37 Batch 22/78 - Train Accuracy: 0.977, Validation Accuracy: 0.972, Loss: 0.035\n", "Epoch 37 Batch 23/78 - Train Accuracy: 0.997, Validation Accuracy: 0.972, Loss: 0.021\n", "Epoch 37 Batch 24/78 - Train Accuracy: 0.994, Validation Accuracy: 0.971, Loss: 0.025\n", "Epoch 37 Batch 25/78 - Train Accuracy: 0.985, Validation Accuracy: 0.972, Loss: 0.029\n", "Epoch 37 Batch 26/78 - Train Accuracy: 0.984, Validation Accuracy: 0.979, Loss: 0.033\n", "Epoch 37 Batch 27/78 - Train Accuracy: 0.998, Validation Accuracy: 0.981, Loss: 0.026\n", "Epoch 37 Batch 28/78 - Train Accuracy: 0.989, Validation Accuracy: 0.981, Loss: 0.031\n", "Epoch 37 Batch 29/78 - Train Accuracy: 0.989, Validation Accuracy: 0.981, Loss: 0.026\n", "Epoch 37 Batch 30/78 - Train Accuracy: 0.998, Validation Accuracy: 0.980, Loss: 0.024\n", "Epoch 37 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.020\n", "Epoch 37 Batch 32/78 - Train Accuracy: 0.999, Validation Accuracy: 0.981, Loss: 0.026\n", "Epoch 37 Batch 33/78 - Train Accuracy: 0.994, Validation Accuracy: 0.981, Loss: 0.030\n", "Epoch 37 Batch 34/78 - Train Accuracy: 0.996, Validation Accuracy: 0.979, Loss: 0.025\n", "Epoch 37 Batch 35/78 - Train Accuracy: 0.997, Validation Accuracy: 0.980, Loss: 0.025\n", "Epoch 37 Batch 36/78 - Train Accuracy: 0.992, Validation Accuracy: 0.984, Loss: 0.032\n", "Epoch 37 Batch 37/78 - Train Accuracy: 0.997, Validation Accuracy: 0.987, Loss: 0.028\n", "Epoch 37 Batch 38/78 - Train Accuracy: 0.997, Validation Accuracy: 0.981, Loss: 0.019\n", "Epoch 37 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.025\n", "Epoch 37 Batch 40/78 - Train Accuracy: 0.998, Validation Accuracy: 0.977, Loss: 0.023\n", "Epoch 37 Batch 41/78 - Train Accuracy: 0.994, Validation Accuracy: 0.974, Loss: 0.028\n", "Epoch 37 Batch 42/78 - Train Accuracy: 0.989, Validation Accuracy: 0.979, Loss: 0.029\n", "Epoch 37 Batch 43/78 - Train Accuracy: 0.994, Validation Accuracy: 0.982, Loss: 0.028\n", "Epoch 37 Batch 44/78 - Train Accuracy: 0.999, Validation Accuracy: 0.984, Loss: 0.027\n", "Epoch 37 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.992, Loss: 0.030\n", "Epoch 37 Batch 46/78 - Train Accuracy: 0.992, Validation Accuracy: 0.988, Loss: 0.032\n", "Epoch 37 Batch 47/78 - Train Accuracy: 0.983, Validation Accuracy: 0.980, Loss: 0.033\n", "Epoch 37 Batch 48/78 - Train Accuracy: 0.996, Validation Accuracy: 0.974, Loss: 0.020\n", "Epoch 37 Batch 49/78 - Train Accuracy: 0.990, Validation Accuracy: 0.973, Loss: 0.031\n", "Epoch 37 Batch 50/78 - Train Accuracy: 0.991, Validation Accuracy: 0.973, Loss: 0.031\n", "Epoch 37 Batch 51/78 - Train Accuracy: 0.994, Validation Accuracy: 0.973, Loss: 0.022\n", "Epoch 37 Batch 52/78 - Train Accuracy: 0.998, Validation Accuracy: 0.978, Loss: 0.030\n", "Epoch 37 Batch 53/78 - Train Accuracy: 0.997, Validation Accuracy: 0.979, Loss: 0.022\n", "Epoch 37 Batch 54/78 - Train Accuracy: 0.998, Validation Accuracy: 0.978, Loss: 0.026\n", "Epoch 37 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.972, Loss: 0.030\n", "Epoch 37 Batch 56/78 - Train Accuracy: 0.991, Validation Accuracy: 0.980, Loss: 0.033\n", "Epoch 37 Batch 57/78 - Train Accuracy: 0.992, Validation Accuracy: 0.978, Loss: 0.033\n", "Epoch 37 Batch 58/78 - Train Accuracy: 0.997, Validation Accuracy: 0.972, Loss: 0.032\n", "Epoch 37 Batch 59/78 - Train Accuracy: 0.990, Validation Accuracy: 0.967, Loss: 0.028\n", "Epoch 37 Batch 60/78 - Train Accuracy: 0.993, Validation Accuracy: 0.971, Loss: 0.026\n", "Epoch 37 Batch 61/78 - Train Accuracy: 0.988, Validation Accuracy: 0.978, Loss: 0.034\n", "Epoch 37 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.024\n", "Epoch 37 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.023\n", "Epoch 37 Batch 64/78 - Train Accuracy: 0.991, Validation Accuracy: 0.981, Loss: 0.029\n", "Epoch 37 Batch 65/78 - Train Accuracy: 0.999, Validation Accuracy: 0.982, Loss: 0.026\n", "Epoch 37 Batch 66/78 - Train Accuracy: 0.994, Validation Accuracy: 0.979, Loss: 0.024\n", "Epoch 37 Batch 67/78 - Train Accuracy: 0.998, Validation Accuracy: 0.979, Loss: 0.019\n", "Epoch 37 Batch 68/78 - Train Accuracy: 0.998, Validation Accuracy: 0.978, Loss: 0.023\n", "Epoch 37 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.974, Loss: 0.020\n", "Epoch 37 Batch 70/78 - Train Accuracy: 0.993, Validation Accuracy: 0.973, Loss: 0.026\n", "Epoch 37 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.975, Loss: 0.022\n", "Epoch 37 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.974, Loss: 0.024\n", "Epoch 37 Batch 73/78 - Train Accuracy: 0.997, Validation Accuracy: 0.975, Loss: 0.033\n", "Epoch 37 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.974, Loss: 0.026\n", "Epoch 37 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.973, Loss: 0.023\n", "Epoch 37 Batch 76/78 - Train Accuracy: 0.997, Validation Accuracy: 0.974, Loss: 0.028\n", "Epoch 38 Batch 0/78 - Train Accuracy: 0.989, Validation Accuracy: 0.977, Loss: 0.026\n", "Epoch 38 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.979, Loss: 0.019\n", "Epoch 38 Batch 2/78 - Train Accuracy: 0.997, Validation Accuracy: 0.979, Loss: 0.021\n", "Epoch 38 Batch 3/78 - Train Accuracy: 0.982, Validation Accuracy: 0.979, Loss: 0.026\n", "Epoch 38 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.023\n", "Epoch 38 Batch 5/78 - Train Accuracy: 0.989, Validation Accuracy: 0.973, Loss: 0.027\n", "Epoch 38 Batch 6/78 - Train Accuracy: 0.996, Validation Accuracy: 0.975, Loss: 0.022\n", "Epoch 38 Batch 7/78 - Train Accuracy: 0.998, Validation Accuracy: 0.980, Loss: 0.023\n", "Epoch 38 Batch 8/78 - Train Accuracy: 0.996, Validation Accuracy: 0.979, Loss: 0.023\n", "Epoch 38 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.023\n", "Epoch 38 Batch 10/78 - Train Accuracy: 0.989, Validation Accuracy: 0.983, Loss: 0.027\n", "Epoch 38 Batch 11/78 - Train Accuracy: 0.996, Validation Accuracy: 0.977, Loss: 0.028\n", "Epoch 38 Batch 12/78 - Train Accuracy: 0.996, Validation Accuracy: 0.983, Loss: 0.028\n", "Epoch 38 Batch 13/78 - Train Accuracy: 0.996, Validation Accuracy: 0.982, Loss: 0.024\n", "Epoch 38 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.018\n", "Epoch 38 Batch 15/78 - Train Accuracy: 0.997, Validation Accuracy: 0.973, Loss: 0.029\n", "Epoch 38 Batch 16/78 - Train Accuracy: 0.994, Validation Accuracy: 0.971, Loss: 0.023\n", "Epoch 38 Batch 17/78 - Train Accuracy: 0.994, Validation Accuracy: 0.971, Loss: 0.020\n", "Epoch 38 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.970, Loss: 0.030\n", "Epoch 38 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.025\n", "Epoch 38 Batch 20/78 - Train Accuracy: 0.993, Validation Accuracy: 0.982, Loss: 0.021\n", "Epoch 38 Batch 21/78 - Train Accuracy: 0.993, Validation Accuracy: 0.982, Loss: 0.027\n", "Epoch 38 Batch 22/78 - Train Accuracy: 0.977, Validation Accuracy: 0.978, Loss: 0.031\n", "Epoch 38 Batch 23/78 - Train Accuracy: 0.998, Validation Accuracy: 0.973, Loss: 0.019\n", "Epoch 38 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.973, Loss: 0.024\n", "Epoch 38 Batch 25/78 - Train Accuracy: 0.985, Validation Accuracy: 0.977, Loss: 0.027\n", "Epoch 38 Batch 26/78 - Train Accuracy: 0.984, Validation Accuracy: 0.982, Loss: 0.030\n", "Epoch 38 Batch 27/78 - Train Accuracy: 0.998, Validation Accuracy: 0.978, Loss: 0.025\n", "Epoch 38 Batch 28/78 - Train Accuracy: 0.990, Validation Accuracy: 0.978, Loss: 0.029\n", "Epoch 38 Batch 29/78 - Train Accuracy: 0.989, Validation Accuracy: 0.978, Loss: 0.024\n", "Epoch 38 Batch 30/78 - Train Accuracy: 0.998, Validation Accuracy: 0.977, Loss: 0.022\n", "Epoch 38 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.019\n", "Epoch 38 Batch 32/78 - Train Accuracy: 0.999, Validation Accuracy: 0.979, Loss: 0.023\n", "Epoch 38 Batch 33/78 - Train Accuracy: 0.996, Validation Accuracy: 0.980, Loss: 0.028\n", "Epoch 38 Batch 34/78 - Train Accuracy: 0.996, Validation Accuracy: 0.983, Loss: 0.022\n", "Epoch 38 Batch 35/78 - Train Accuracy: 0.997, Validation Accuracy: 0.980, Loss: 0.022\n", "Epoch 38 Batch 36/78 - Train Accuracy: 0.992, Validation Accuracy: 0.984, Loss: 0.030\n", "Epoch 38 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.028\n", "Epoch 38 Batch 38/78 - Train Accuracy: 0.997, Validation Accuracy: 0.983, Loss: 0.018\n", "Epoch 38 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.024\n", "Epoch 38 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.972, Loss: 0.023\n", "Epoch 38 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.979, Loss: 0.027\n", "Epoch 38 Batch 42/78 - Train Accuracy: 0.991, Validation Accuracy: 0.980, Loss: 0.027\n", "Epoch 38 Batch 43/78 - Train Accuracy: 0.994, Validation Accuracy: 0.978, Loss: 0.026\n", "Epoch 38 Batch 44/78 - Train Accuracy: 0.999, Validation Accuracy: 0.978, Loss: 0.027\n", "Epoch 38 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.985, Loss: 0.030\n", "Epoch 38 Batch 46/78 - Train Accuracy: 0.991, Validation Accuracy: 0.984, Loss: 0.031\n", "Epoch 38 Batch 47/78 - Train Accuracy: 0.985, Validation Accuracy: 0.981, Loss: 0.031\n", "Epoch 38 Batch 48/78 - Train Accuracy: 0.996, Validation Accuracy: 0.975, Loss: 0.019\n", "Epoch 38 Batch 49/78 - Train Accuracy: 0.990, Validation Accuracy: 0.974, Loss: 0.030\n", "Epoch 38 Batch 50/78 - Train Accuracy: 0.989, Validation Accuracy: 0.973, Loss: 0.029\n", "Epoch 38 Batch 51/78 - Train Accuracy: 0.997, Validation Accuracy: 0.970, Loss: 0.021\n", "Epoch 38 Batch 52/78 - Train Accuracy: 0.998, Validation Accuracy: 0.973, Loss: 0.029\n", "Epoch 38 Batch 53/78 - Train Accuracy: 0.996, Validation Accuracy: 0.975, Loss: 0.020\n", "Epoch 38 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.024\n", "Epoch 38 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.027\n", "Epoch 38 Batch 56/78 - Train Accuracy: 0.987, Validation Accuracy: 0.974, Loss: 0.030\n", "Epoch 38 Batch 57/78 - Train Accuracy: 0.992, Validation Accuracy: 0.980, Loss: 0.030\n", "Epoch 38 Batch 58/78 - Train Accuracy: 0.997, Validation Accuracy: 0.973, Loss: 0.030\n", "Epoch 38 Batch 59/78 - Train Accuracy: 0.990, Validation Accuracy: 0.969, Loss: 0.028\n", "Epoch 38 Batch 60/78 - Train Accuracy: 0.998, Validation Accuracy: 0.969, Loss: 0.023\n", "Epoch 38 Batch 61/78 - Train Accuracy: 0.988, Validation Accuracy: 0.977, Loss: 0.029\n", "Epoch 38 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.022\n", "Epoch 38 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.022\n", "Epoch 38 Batch 64/78 - Train Accuracy: 0.991, Validation Accuracy: 0.989, Loss: 0.026\n", "Epoch 38 Batch 65/78 - Train Accuracy: 0.998, Validation Accuracy: 0.985, Loss: 0.026\n", "Epoch 38 Batch 66/78 - Train Accuracy: 0.994, Validation Accuracy: 0.984, Loss: 0.023\n", "Epoch 38 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.018\n", "Epoch 38 Batch 68/78 - Train Accuracy: 0.998, Validation Accuracy: 0.981, Loss: 0.021\n", "Epoch 38 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.019\n", "Epoch 38 Batch 70/78 - Train Accuracy: 0.997, Validation Accuracy: 0.980, Loss: 0.023\n", "Epoch 38 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.020\n", "Epoch 38 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.975, Loss: 0.024\n", "Epoch 38 Batch 73/78 - Train Accuracy: 0.997, Validation Accuracy: 0.975, Loss: 0.029\n", "Epoch 38 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.974, Loss: 0.023\n", "Epoch 38 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.975, Loss: 0.020\n", "Epoch 38 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.975, Loss: 0.026\n", "Epoch 39 Batch 0/78 - Train Accuracy: 0.989, Validation Accuracy: 0.977, Loss: 0.024\n", "Epoch 39 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.975, Loss: 0.018\n", "Epoch 39 Batch 2/78 - Train Accuracy: 0.997, Validation Accuracy: 0.977, Loss: 0.019\n", "Epoch 39 Batch 3/78 - Train Accuracy: 0.989, Validation Accuracy: 0.978, Loss: 0.024\n", "Epoch 39 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.020\n", "Epoch 39 Batch 5/78 - Train Accuracy: 0.989, Validation Accuracy: 0.975, Loss: 0.025\n", "Epoch 39 Batch 6/78 - Train Accuracy: 0.997, Validation Accuracy: 0.973, Loss: 0.021\n", "Epoch 39 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.022\n", "Epoch 39 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.021\n", "Epoch 39 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.020\n", "Epoch 39 Batch 10/78 - Train Accuracy: 0.989, Validation Accuracy: 0.982, Loss: 0.025\n", "Epoch 39 Batch 11/78 - Train Accuracy: 0.996, Validation Accuracy: 0.983, Loss: 0.027\n", "Epoch 39 Batch 12/78 - Train Accuracy: 0.996, Validation Accuracy: 0.979, Loss: 0.025\n", "Epoch 39 Batch 13/78 - Train Accuracy: 0.996, Validation Accuracy: 0.980, Loss: 0.022\n", "Epoch 39 Batch 14/78 - Train Accuracy: 0.999, Validation Accuracy: 0.983, Loss: 0.018\n", "Epoch 39 Batch 15/78 - Train Accuracy: 0.997, Validation Accuracy: 0.983, Loss: 0.029\n", "Epoch 39 Batch 16/78 - Train Accuracy: 0.994, Validation Accuracy: 0.981, Loss: 0.021\n", "Epoch 39 Batch 17/78 - Train Accuracy: 0.996, Validation Accuracy: 0.971, Loss: 0.018\n", "Epoch 39 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.972, Loss: 0.028\n", "Epoch 39 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.973, Loss: 0.025\n", "Epoch 39 Batch 20/78 - Train Accuracy: 0.996, Validation Accuracy: 0.977, Loss: 0.021\n", "Epoch 39 Batch 21/78 - Train Accuracy: 0.997, Validation Accuracy: 0.977, Loss: 0.025\n", "Epoch 39 Batch 22/78 - Train Accuracy: 0.982, Validation Accuracy: 0.975, Loss: 0.028\n", "Epoch 39 Batch 23/78 - Train Accuracy: 0.998, Validation Accuracy: 0.974, Loss: 0.017\n", "Epoch 39 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.022\n", "Epoch 39 Batch 25/78 - Train Accuracy: 0.988, Validation Accuracy: 0.974, Loss: 0.026\n", "Epoch 39 Batch 26/78 - Train Accuracy: 0.989, Validation Accuracy: 0.977, Loss: 0.030\n", "Epoch 39 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.022\n", "Epoch 39 Batch 28/78 - Train Accuracy: 0.990, Validation Accuracy: 0.979, Loss: 0.027\n", "Epoch 39 Batch 29/78 - Train Accuracy: 0.989, Validation Accuracy: 0.978, Loss: 0.023\n", "Epoch 39 Batch 30/78 - Train Accuracy: 0.998, Validation Accuracy: 0.978, Loss: 0.021\n", "Epoch 39 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.018\n", "Epoch 39 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.022\n", "Epoch 39 Batch 33/78 - Train Accuracy: 0.996, Validation Accuracy: 0.980, Loss: 0.025\n", "Epoch 39 Batch 34/78 - Train Accuracy: 0.997, Validation Accuracy: 0.984, Loss: 0.021\n", "Epoch 39 Batch 35/78 - Train Accuracy: 0.997, Validation Accuracy: 0.988, Loss: 0.021\n", "Epoch 39 Batch 36/78 - Train Accuracy: 0.994, Validation Accuracy: 0.987, Loss: 0.027\n", "Epoch 39 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.026\n", "Epoch 39 Batch 38/78 - Train Accuracy: 0.997, Validation Accuracy: 0.983, Loss: 0.017\n", "Epoch 39 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.021\n", "Epoch 39 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.975, Loss: 0.021\n", "Epoch 39 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.975, Loss: 0.024\n", "Epoch 39 Batch 42/78 - Train Accuracy: 0.989, Validation Accuracy: 0.981, Loss: 0.028\n", "Epoch 39 Batch 43/78 - Train Accuracy: 0.994, Validation Accuracy: 0.982, Loss: 0.026\n", "Epoch 39 Batch 44/78 - Train Accuracy: 0.998, Validation Accuracy: 0.982, Loss: 0.022\n", "Epoch 39 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.978, Loss: 0.028\n", "Epoch 39 Batch 46/78 - Train Accuracy: 0.989, Validation Accuracy: 0.985, Loss: 0.035\n", "Epoch 39 Batch 47/78 - Train Accuracy: 0.985, Validation Accuracy: 0.981, Loss: 0.028\n", "Epoch 39 Batch 48/78 - Train Accuracy: 0.993, Validation Accuracy: 0.975, Loss: 0.016\n", "Epoch 39 Batch 49/78 - Train Accuracy: 0.996, Validation Accuracy: 0.977, Loss: 0.032\n", "Epoch 39 Batch 50/78 - Train Accuracy: 0.990, Validation Accuracy: 0.974, Loss: 0.028\n", "Epoch 39 Batch 51/78 - Train Accuracy: 0.997, Validation Accuracy: 0.972, Loss: 0.018\n", "Epoch 39 Batch 52/78 - Train Accuracy: 0.996, Validation Accuracy: 0.970, Loss: 0.028\n", "Epoch 39 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.024\n", "Epoch 39 Batch 54/78 - Train Accuracy: 0.997, Validation Accuracy: 0.982, Loss: 0.021\n", "Epoch 39 Batch 55/78 - Train Accuracy: 0.996, Validation Accuracy: 0.977, Loss: 0.026\n", "Epoch 39 Batch 56/78 - Train Accuracy: 0.985, Validation Accuracy: 0.980, Loss: 0.034\n", "Epoch 39 Batch 57/78 - Train Accuracy: 0.989, Validation Accuracy: 0.983, Loss: 0.029\n", "Epoch 39 Batch 58/78 - Train Accuracy: 0.999, Validation Accuracy: 0.970, Loss: 0.027\n", "Epoch 39 Batch 59/78 - Train Accuracy: 0.990, Validation Accuracy: 0.971, Loss: 0.026\n", "Epoch 39 Batch 60/78 - Train Accuracy: 0.998, Validation Accuracy: 0.978, Loss: 0.025\n", "Epoch 39 Batch 61/78 - Train Accuracy: 0.988, Validation Accuracy: 0.981, Loss: 0.027\n", "Epoch 39 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.020\n", "Epoch 39 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.021\n", "Epoch 39 Batch 64/78 - Train Accuracy: 0.991, Validation Accuracy: 0.985, Loss: 0.026\n", "Epoch 39 Batch 65/78 - Train Accuracy: 0.998, Validation Accuracy: 0.985, Loss: 0.023\n", "Epoch 39 Batch 66/78 - Train Accuracy: 0.994, Validation Accuracy: 0.983, Loss: 0.021\n", "Epoch 39 Batch 67/78 - Train Accuracy: 0.998, Validation Accuracy: 0.984, Loss: 0.017\n", "Epoch 39 Batch 68/78 - Train Accuracy: 0.998, Validation Accuracy: 0.980, Loss: 0.021\n", "Epoch 39 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.017\n", "Epoch 39 Batch 70/78 - Train Accuracy: 0.997, Validation Accuracy: 0.978, Loss: 0.022\n", "Epoch 39 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.018\n", "Epoch 39 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.021\n", "Epoch 39 Batch 73/78 - Train Accuracy: 0.997, Validation Accuracy: 0.977, Loss: 0.028\n", "Epoch 39 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.974, Loss: 0.023\n", "Epoch 39 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.974, Loss: 0.019\n", "Epoch 39 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.025\n", "Epoch 40 Batch 0/78 - Train Accuracy: 0.989, Validation Accuracy: 0.977, Loss: 0.022\n", "Epoch 40 Batch 1/78 - Train Accuracy: 0.997, Validation Accuracy: 0.977, Loss: 0.017\n", "Epoch 40 Batch 2/78 - Train Accuracy: 0.997, Validation Accuracy: 0.980, Loss: 0.017\n", "Epoch 40 Batch 3/78 - Train Accuracy: 0.989, Validation Accuracy: 0.979, Loss: 0.022\n", "Epoch 40 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.020\n", "Epoch 40 Batch 5/78 - Train Accuracy: 0.990, Validation Accuracy: 0.977, Loss: 0.024\n", "Epoch 40 Batch 6/78 - Train Accuracy: 0.997, Validation Accuracy: 0.975, Loss: 0.019\n", "Epoch 40 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.975, Loss: 0.020\n", "Epoch 40 Batch 8/78 - Train Accuracy: 0.998, Validation Accuracy: 0.975, Loss: 0.020\n", "Epoch 40 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.019\n", "Epoch 40 Batch 10/78 - Train Accuracy: 0.989, Validation Accuracy: 0.982, Loss: 0.024\n", "Epoch 40 Batch 11/78 - Train Accuracy: 0.996, Validation Accuracy: 0.982, Loss: 0.025\n", "Epoch 40 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.023\n", "Epoch 40 Batch 13/78 - Train Accuracy: 0.996, Validation Accuracy: 0.989, Loss: 0.021\n", "Epoch 40 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.016\n", "Epoch 40 Batch 15/78 - Train Accuracy: 0.997, Validation Accuracy: 0.985, Loss: 0.026\n", "Epoch 40 Batch 16/78 - Train Accuracy: 0.994, Validation Accuracy: 0.982, Loss: 0.020\n", "Epoch 40 Batch 17/78 - Train Accuracy: 0.996, Validation Accuracy: 0.985, Loss: 0.017\n", "Epoch 40 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.974, Loss: 0.026\n", "Epoch 40 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.974, Loss: 0.022\n", "Epoch 40 Batch 20/78 - Train Accuracy: 0.996, Validation Accuracy: 0.978, Loss: 0.020\n", "Epoch 40 Batch 21/78 - Train Accuracy: 0.997, Validation Accuracy: 0.977, Loss: 0.024\n", "Epoch 40 Batch 22/78 - Train Accuracy: 0.983, Validation Accuracy: 0.984, Loss: 0.028\n", "Epoch 40 Batch 23/78 - Train Accuracy: 0.997, Validation Accuracy: 0.978, Loss: 0.016\n", "Epoch 40 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.020\n", "Epoch 40 Batch 25/78 - Train Accuracy: 0.988, Validation Accuracy: 0.979, Loss: 0.024\n", "Epoch 40 Batch 26/78 - Train Accuracy: 0.993, Validation Accuracy: 0.977, Loss: 0.030\n", "Epoch 40 Batch 27/78 - Train Accuracy: 0.998, Validation Accuracy: 0.978, Loss: 0.022\n", "Epoch 40 Batch 28/78 - Train Accuracy: 0.990, Validation Accuracy: 0.979, Loss: 0.025\n", "Epoch 40 Batch 29/78 - Train Accuracy: 0.989, Validation Accuracy: 0.979, Loss: 0.021\n", "Epoch 40 Batch 30/78 - Train Accuracy: 0.998, Validation Accuracy: 0.979, Loss: 0.020\n", "Epoch 40 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.017\n", "Epoch 40 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.022\n", "Epoch 40 Batch 33/78 - Train Accuracy: 0.996, Validation Accuracy: 0.982, Loss: 0.024\n", "Epoch 40 Batch 34/78 - Train Accuracy: 0.997, Validation Accuracy: 0.984, Loss: 0.021\n", "Epoch 40 Batch 35/78 - Train Accuracy: 0.997, Validation Accuracy: 0.987, Loss: 0.019\n", "Epoch 40 Batch 36/78 - Train Accuracy: 0.994, Validation Accuracy: 0.989, Loss: 0.026\n", "Epoch 40 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.024\n", "Epoch 40 Batch 38/78 - Train Accuracy: 0.997, Validation Accuracy: 0.985, Loss: 0.016\n", "Epoch 40 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.020\n", "Epoch 40 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.019\n", "Epoch 40 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.974, Loss: 0.021\n", "Epoch 40 Batch 42/78 - Train Accuracy: 0.989, Validation Accuracy: 0.979, Loss: 0.026\n", "Epoch 40 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.028\n", "Epoch 40 Batch 44/78 - Train Accuracy: 0.998, Validation Accuracy: 0.983, Loss: 0.020\n", "Epoch 40 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.983, Loss: 0.025\n", "Epoch 40 Batch 46/78 - Train Accuracy: 0.989, Validation Accuracy: 0.984, Loss: 0.037\n", "Epoch 40 Batch 47/78 - Train Accuracy: 0.987, Validation Accuracy: 0.983, Loss: 0.026\n", "Epoch 40 Batch 48/78 - Train Accuracy: 0.993, Validation Accuracy: 0.973, Loss: 0.015\n", "Epoch 40 Batch 49/78 - Train Accuracy: 0.993, Validation Accuracy: 0.968, Loss: 0.026\n", "Epoch 40 Batch 50/78 - Train Accuracy: 0.990, Validation Accuracy: 0.975, Loss: 0.036\n", "Epoch 40 Batch 51/78 - Train Accuracy: 0.993, Validation Accuracy: 0.973, Loss: 0.016\n", "Epoch 40 Batch 52/78 - Train Accuracy: 0.998, Validation Accuracy: 0.975, Loss: 0.029\n", "Epoch 40 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.027\n", "Epoch 40 Batch 54/78 - Train Accuracy: 0.997, Validation Accuracy: 0.982, Loss: 0.020\n", "Epoch 40 Batch 55/78 - Train Accuracy: 0.994, Validation Accuracy: 0.974, Loss: 0.029\n", "Epoch 40 Batch 56/78 - Train Accuracy: 0.984, Validation Accuracy: 0.978, Loss: 0.035\n", "Epoch 40 Batch 57/78 - Train Accuracy: 0.992, Validation Accuracy: 0.989, Loss: 0.029\n", "Epoch 40 Batch 58/78 - Train Accuracy: 0.998, Validation Accuracy: 0.977, Loss: 0.025\n", "Epoch 40 Batch 59/78 - Train Accuracy: 0.987, Validation Accuracy: 0.975, Loss: 0.027\n", "Epoch 40 Batch 60/78 - Train Accuracy: 0.998, Validation Accuracy: 0.979, Loss: 0.024\n", "Epoch 40 Batch 61/78 - Train Accuracy: 0.988, Validation Accuracy: 0.979, Loss: 0.028\n", "Epoch 40 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.019\n", "Epoch 40 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.019\n", "Epoch 40 Batch 64/78 - Train Accuracy: 0.991, Validation Accuracy: 0.975, Loss: 0.025\n", "Epoch 40 Batch 65/78 - Train Accuracy: 0.998, Validation Accuracy: 0.981, Loss: 0.025\n", "Epoch 40 Batch 66/78 - Train Accuracy: 0.994, Validation Accuracy: 0.983, Loss: 0.021\n", "Epoch 40 Batch 67/78 - Train Accuracy: 0.998, Validation Accuracy: 0.980, Loss: 0.018\n", "Epoch 40 Batch 68/78 - Train Accuracy: 0.998, Validation Accuracy: 0.979, Loss: 0.022\n", "Epoch 40 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.974, Loss: 0.016\n", "Epoch 40 Batch 70/78 - Train Accuracy: 0.997, Validation Accuracy: 0.972, Loss: 0.022\n", "Epoch 40 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.973, Loss: 0.019\n", "Epoch 40 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.975, Loss: 0.020\n", "Epoch 40 Batch 73/78 - Train Accuracy: 0.997, Validation Accuracy: 0.975, Loss: 0.028\n", "Epoch 40 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.022\n", "Epoch 40 Batch 75/78 - Train Accuracy: 0.998, Validation Accuracy: 0.978, Loss: 0.018\n", "Epoch 40 Batch 76/78 - Train Accuracy: 0.998, Validation Accuracy: 0.974, Loss: 0.026\n", "Epoch 41 Batch 0/78 - Train Accuracy: 0.992, Validation Accuracy: 0.974, Loss: 0.021\n", "Epoch 41 Batch 1/78 - Train Accuracy: 0.997, Validation Accuracy: 0.972, Loss: 0.015\n", "Epoch 41 Batch 2/78 - Train Accuracy: 0.997, Validation Accuracy: 0.974, Loss: 0.017\n", "Epoch 41 Batch 3/78 - Train Accuracy: 0.989, Validation Accuracy: 0.975, Loss: 0.021\n", "Epoch 41 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.021\n", "Epoch 41 Batch 5/78 - Train Accuracy: 0.989, Validation Accuracy: 0.977, Loss: 0.024\n", "Epoch 41 Batch 6/78 - Train Accuracy: 0.996, Validation Accuracy: 0.978, Loss: 0.019\n", "Epoch 41 Batch 7/78 - Train Accuracy: 0.998, Validation Accuracy: 0.979, Loss: 0.021\n", "Epoch 41 Batch 8/78 - Train Accuracy: 0.996, Validation Accuracy: 0.977, Loss: 0.020\n", "Epoch 41 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.018\n", "Epoch 41 Batch 10/78 - Train Accuracy: 0.989, Validation Accuracy: 0.979, Loss: 0.022\n", "Epoch 41 Batch 11/78 - Train Accuracy: 0.996, Validation Accuracy: 0.975, Loss: 0.026\n", "Epoch 41 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.022\n", "Epoch 41 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.019\n", "Epoch 41 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.014\n", "Epoch 41 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.024\n", "Epoch 41 Batch 16/78 - Train Accuracy: 0.994, Validation Accuracy: 0.985, Loss: 0.019\n", "Epoch 41 Batch 17/78 - Train Accuracy: 0.994, Validation Accuracy: 0.985, Loss: 0.016\n", "Epoch 41 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.983, Loss: 0.024\n", "Epoch 41 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.021\n", "Epoch 41 Batch 20/78 - Train Accuracy: 0.996, Validation Accuracy: 0.979, Loss: 0.019\n", "Epoch 41 Batch 21/78 - Train Accuracy: 0.997, Validation Accuracy: 0.980, Loss: 0.023\n", "Epoch 41 Batch 22/78 - Train Accuracy: 0.982, Validation Accuracy: 0.977, Loss: 0.026\n", "Epoch 41 Batch 23/78 - Train Accuracy: 0.997, Validation Accuracy: 0.981, Loss: 0.016\n", "Epoch 41 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.019\n", "Epoch 41 Batch 25/78 - Train Accuracy: 0.988, Validation Accuracy: 0.978, Loss: 0.023\n", "Epoch 41 Batch 26/78 - Train Accuracy: 0.993, Validation Accuracy: 0.979, Loss: 0.028\n", "Epoch 41 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.021\n", "Epoch 41 Batch 28/78 - Train Accuracy: 0.993, Validation Accuracy: 0.982, Loss: 0.024\n", "Epoch 41 Batch 29/78 - Train Accuracy: 0.989, Validation Accuracy: 0.984, Loss: 0.020\n", "Epoch 41 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.017\n", "Epoch 41 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.016\n", "Epoch 41 Batch 32/78 - Train Accuracy: 0.996, Validation Accuracy: 0.982, Loss: 0.023\n", "Epoch 41 Batch 33/78 - Train Accuracy: 0.996, Validation Accuracy: 0.981, Loss: 0.024\n", "Epoch 41 Batch 34/78 - Train Accuracy: 0.997, Validation Accuracy: 0.982, Loss: 0.019\n", "Epoch 41 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.019\n", "Epoch 41 Batch 36/78 - Train Accuracy: 0.993, Validation Accuracy: 0.988, Loss: 0.025\n", "Epoch 41 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.022\n", "Epoch 41 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.016\n", "Epoch 41 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.021\n", "Epoch 41 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.019\n", "Epoch 41 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.980, Loss: 0.019\n", "Epoch 41 Batch 42/78 - Train Accuracy: 0.989, Validation Accuracy: 0.981, Loss: 0.022\n", "Epoch 41 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.027\n", "Epoch 41 Batch 44/78 - Train Accuracy: 0.997, Validation Accuracy: 0.985, Loss: 0.019\n", "Epoch 41 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.981, Loss: 0.021\n", "Epoch 41 Batch 46/78 - Train Accuracy: 0.990, Validation Accuracy: 0.981, Loss: 0.027\n", "Epoch 41 Batch 47/78 - Train Accuracy: 0.989, Validation Accuracy: 0.989, Loss: 0.028\n", "Epoch 41 Batch 48/78 - Train Accuracy: 0.993, Validation Accuracy: 0.985, Loss: 0.013\n", "Epoch 41 Batch 49/78 - Train Accuracy: 0.996, Validation Accuracy: 0.978, Loss: 0.021\n", "Epoch 41 Batch 50/78 - Train Accuracy: 0.996, Validation Accuracy: 0.968, Loss: 0.023\n", "Epoch 41 Batch 51/78 - Train Accuracy: 0.998, Validation Accuracy: 0.978, Loss: 0.021\n", "Epoch 41 Batch 52/78 - Train Accuracy: 0.996, Validation Accuracy: 0.973, Loss: 0.022\n", "Epoch 41 Batch 53/78 - Train Accuracy: 0.993, Validation Accuracy: 0.971, Loss: 0.018\n", "Epoch 41 Batch 54/78 - Train Accuracy: 0.999, Validation Accuracy: 0.979, Loss: 0.043\n", "Epoch 41 Batch 55/78 - Train Accuracy: 0.996, Validation Accuracy: 0.974, Loss: 0.025\n", "Epoch 41 Batch 56/78 - Train Accuracy: 0.988, Validation Accuracy: 0.975, Loss: 0.029\n", "Epoch 41 Batch 57/78 - Train Accuracy: 0.989, Validation Accuracy: 0.982, Loss: 0.039\n", "Epoch 41 Batch 58/78 - Train Accuracy: 0.996, Validation Accuracy: 0.974, Loss: 0.026\n", "Epoch 41 Batch 59/78 - Train Accuracy: 0.984, Validation Accuracy: 0.967, Loss: 0.028\n", "Epoch 41 Batch 60/78 - Train Accuracy: 0.997, Validation Accuracy: 0.970, Loss: 0.031\n", "Epoch 41 Batch 61/78 - Train Accuracy: 0.992, Validation Accuracy: 0.973, Loss: 0.028\n", "Epoch 41 Batch 62/78 - Train Accuracy: 0.990, Validation Accuracy: 0.975, Loss: 0.019\n", "Epoch 41 Batch 63/78 - Train Accuracy: 0.996, Validation Accuracy: 0.973, Loss: 0.023\n", "Epoch 41 Batch 64/78 - Train Accuracy: 0.991, Validation Accuracy: 0.972, Loss: 0.037\n", "Epoch 41 Batch 65/78 - Train Accuracy: 0.994, Validation Accuracy: 0.973, Loss: 0.025\n", "Epoch 41 Batch 66/78 - Train Accuracy: 0.991, Validation Accuracy: 0.972, Loss: 0.029\n", "Epoch 41 Batch 67/78 - Train Accuracy: 0.997, Validation Accuracy: 0.973, Loss: 0.029\n", "Epoch 41 Batch 68/78 - Train Accuracy: 0.996, Validation Accuracy: 0.972, Loss: 0.029\n", "Epoch 41 Batch 69/78 - Train Accuracy: 0.999, Validation Accuracy: 0.969, Loss: 0.018\n", "Epoch 41 Batch 70/78 - Train Accuracy: 0.998, Validation Accuracy: 0.973, Loss: 0.021\n", "Epoch 41 Batch 71/78 - Train Accuracy: 0.998, Validation Accuracy: 0.980, Loss: 0.019\n", "Epoch 41 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.975, Loss: 0.023\n", "Epoch 41 Batch 73/78 - Train Accuracy: 0.997, Validation Accuracy: 0.975, Loss: 0.032\n", "Epoch 41 Batch 74/78 - Train Accuracy: 0.990, Validation Accuracy: 0.970, Loss: 0.024\n", "Epoch 41 Batch 75/78 - Train Accuracy: 0.998, Validation Accuracy: 0.971, Loss: 0.023\n", "Epoch 41 Batch 76/78 - Train Accuracy: 0.992, Validation Accuracy: 0.984, Loss: 0.034\n", "Epoch 42 Batch 0/78 - Train Accuracy: 0.994, Validation Accuracy: 0.975, Loss: 0.022\n", "Epoch 42 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.977, Loss: 0.016\n", "Epoch 42 Batch 2/78 - Train Accuracy: 0.997, Validation Accuracy: 0.975, Loss: 0.021\n", "Epoch 42 Batch 3/78 - Train Accuracy: 0.996, Validation Accuracy: 0.973, Loss: 0.025\n", "Epoch 42 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.020\n", "Epoch 42 Batch 5/78 - Train Accuracy: 0.988, Validation Accuracy: 0.979, Loss: 0.023\n", "Epoch 42 Batch 6/78 - Train Accuracy: 0.996, Validation Accuracy: 0.979, Loss: 0.018\n", "Epoch 42 Batch 7/78 - Train Accuracy: 0.998, Validation Accuracy: 0.981, Loss: 0.024\n", "Epoch 42 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.018\n", "Epoch 42 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.020\n", "Epoch 42 Batch 10/78 - Train Accuracy: 0.993, Validation Accuracy: 0.977, Loss: 0.022\n", "Epoch 42 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.973, Loss: 0.025\n", "Epoch 42 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.972, Loss: 0.021\n", "Epoch 42 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.019\n", "Epoch 42 Batch 14/78 - Train Accuracy: 0.998, Validation Accuracy: 0.973, Loss: 0.015\n", "Epoch 42 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.023\n", "Epoch 42 Batch 16/78 - Train Accuracy: 0.994, Validation Accuracy: 0.980, Loss: 0.021\n", "Epoch 42 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.017\n", "Epoch 42 Batch 18/78 - Train Accuracy: 0.997, Validation Accuracy: 0.973, Loss: 0.024\n", "Epoch 42 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.023\n", "Epoch 42 Batch 20/78 - Train Accuracy: 0.996, Validation Accuracy: 0.974, Loss: 0.019\n", "Epoch 42 Batch 21/78 - Train Accuracy: 0.993, Validation Accuracy: 0.974, Loss: 0.023\n", "Epoch 42 Batch 22/78 - Train Accuracy: 0.983, Validation Accuracy: 0.975, Loss: 0.025\n", "Epoch 42 Batch 23/78 - Train Accuracy: 0.996, Validation Accuracy: 0.975, Loss: 0.018\n", "Epoch 42 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.972, Loss: 0.018\n", "Epoch 42 Batch 25/78 - Train Accuracy: 0.990, Validation Accuracy: 0.973, Loss: 0.023\n", "Epoch 42 Batch 26/78 - Train Accuracy: 0.991, Validation Accuracy: 0.974, Loss: 0.027\n", "Epoch 42 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.019\n", "Epoch 42 Batch 28/78 - Train Accuracy: 0.993, Validation Accuracy: 0.979, Loss: 0.025\n", "Epoch 42 Batch 29/78 - Train Accuracy: 0.996, Validation Accuracy: 0.980, Loss: 0.021\n", "Epoch 42 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.016\n", "Epoch 42 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.016\n", "Epoch 42 Batch 32/78 - Train Accuracy: 0.997, Validation Accuracy: 0.980, Loss: 0.020\n", "Epoch 42 Batch 33/78 - Train Accuracy: 0.996, Validation Accuracy: 0.979, Loss: 0.022\n", "Epoch 42 Batch 34/78 - Train Accuracy: 0.997, Validation Accuracy: 0.985, Loss: 0.017\n", "Epoch 42 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.017\n", "Epoch 42 Batch 36/78 - Train Accuracy: 0.994, Validation Accuracy: 0.981, Loss: 0.023\n", "Epoch 42 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.019\n", "Epoch 42 Batch 38/78 - Train Accuracy: 0.997, Validation Accuracy: 0.984, Loss: 0.015\n", "Epoch 42 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.019\n", "Epoch 42 Batch 40/78 - Train Accuracy: 0.999, Validation Accuracy: 0.985, Loss: 0.017\n", "Epoch 42 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.983, Loss: 0.018\n", "Epoch 42 Batch 42/78 - Train Accuracy: 0.992, Validation Accuracy: 0.984, Loss: 0.019\n", "Epoch 42 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.023\n", "Epoch 42 Batch 44/78 - Train Accuracy: 0.998, Validation Accuracy: 0.988, Loss: 0.019\n", "Epoch 42 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.990, Loss: 0.019\n", "Epoch 42 Batch 46/78 - Train Accuracy: 0.990, Validation Accuracy: 0.988, Loss: 0.025\n", "Epoch 42 Batch 47/78 - Train Accuracy: 0.989, Validation Accuracy: 0.992, Loss: 0.023\n", "Epoch 42 Batch 48/78 - Train Accuracy: 0.992, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 42 Batch 49/78 - Train Accuracy: 0.996, Validation Accuracy: 0.989, Loss: 0.022\n", "Epoch 42 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.020\n", "Epoch 42 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.014\n", "Epoch 42 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.020\n", "Epoch 42 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.016\n", "Epoch 42 Batch 54/78 - Train Accuracy: 0.997, Validation Accuracy: 0.980, Loss: 0.020\n", "Epoch 42 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.019\n", "Epoch 42 Batch 56/78 - Train Accuracy: 0.994, Validation Accuracy: 0.984, Loss: 0.024\n", "Epoch 42 Batch 57/78 - Train Accuracy: 0.992, Validation Accuracy: 0.988, Loss: 0.023\n", "Epoch 42 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.023\n", "Epoch 42 Batch 59/78 - Train Accuracy: 0.990, Validation Accuracy: 0.982, Loss: 0.020\n", "Epoch 42 Batch 60/78 - Train Accuracy: 0.998, Validation Accuracy: 0.983, Loss: 0.016\n", "Epoch 42 Batch 61/78 - Train Accuracy: 0.987, Validation Accuracy: 0.979, Loss: 0.022\n", "Epoch 42 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.971, Loss: 0.016\n", "Epoch 42 Batch 63/78 - Train Accuracy: 0.997, Validation Accuracy: 0.978, Loss: 0.016\n", "Epoch 42 Batch 64/78 - Train Accuracy: 0.996, Validation Accuracy: 0.981, Loss: 0.019\n", "Epoch 42 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.016\n", "Epoch 42 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.016\n", "Epoch 42 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.014\n", "Epoch 42 Batch 68/78 - Train Accuracy: 0.998, Validation Accuracy: 0.984, Loss: 0.017\n", "Epoch 42 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.015\n", "Epoch 42 Batch 70/78 - Train Accuracy: 0.994, Validation Accuracy: 0.979, Loss: 0.019\n", "Epoch 42 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.975, Loss: 0.014\n", "Epoch 42 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.975, Loss: 0.017\n", "Epoch 42 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.975, Loss: 0.027\n", "Epoch 42 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.018\n", "Epoch 42 Batch 75/78 - Train Accuracy: 0.998, Validation Accuracy: 0.978, Loss: 0.015\n", "Epoch 42 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.020\n", "Epoch 43 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.018\n", "Epoch 43 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.985, Loss: 0.013\n", "Epoch 43 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.014\n", "Epoch 43 Batch 3/78 - Train Accuracy: 0.996, Validation Accuracy: 0.985, Loss: 0.018\n", "Epoch 43 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.017\n", "Epoch 43 Batch 5/78 - Train Accuracy: 0.984, Validation Accuracy: 0.990, Loss: 0.020\n", "Epoch 43 Batch 6/78 - Train Accuracy: 0.996, Validation Accuracy: 0.983, Loss: 0.016\n", "Epoch 43 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.017\n", "Epoch 43 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.016\n", "Epoch 43 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.016\n", "Epoch 43 Batch 10/78 - Train Accuracy: 0.993, Validation Accuracy: 0.977, Loss: 0.019\n", "Epoch 43 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.021\n", "Epoch 43 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.017\n", "Epoch 43 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.016\n", "Epoch 43 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.012\n", "Epoch 43 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.019\n", "Epoch 43 Batch 16/78 - Train Accuracy: 0.994, Validation Accuracy: 0.984, Loss: 0.016\n", "Epoch 43 Batch 17/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.014\n", "Epoch 43 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.989, Loss: 0.021\n", "Epoch 43 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.017\n", "Epoch 43 Batch 20/78 - Train Accuracy: 0.996, Validation Accuracy: 0.975, Loss: 0.015\n", "Epoch 43 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.020\n", "Epoch 43 Batch 22/78 - Train Accuracy: 0.985, Validation Accuracy: 0.975, Loss: 0.025\n", "Epoch 43 Batch 23/78 - Train Accuracy: 0.997, Validation Accuracy: 0.981, Loss: 0.013\n", "Epoch 43 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.016\n", "Epoch 43 Batch 25/78 - Train Accuracy: 0.991, Validation Accuracy: 0.980, Loss: 0.020\n", "Epoch 43 Batch 26/78 - Train Accuracy: 0.993, Validation Accuracy: 0.979, Loss: 0.024\n", "Epoch 43 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.018\n", "Epoch 43 Batch 28/78 - Train Accuracy: 0.996, Validation Accuracy: 0.984, Loss: 0.022\n", "Epoch 43 Batch 29/78 - Train Accuracy: 0.996, Validation Accuracy: 0.984, Loss: 0.018\n", "Epoch 43 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.015\n", "Epoch 43 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.013\n", "Epoch 43 Batch 32/78 - Train Accuracy: 0.997, Validation Accuracy: 0.982, Loss: 0.019\n", "Epoch 43 Batch 33/78 - Train Accuracy: 0.996, Validation Accuracy: 0.984, Loss: 0.022\n", "Epoch 43 Batch 34/78 - Train Accuracy: 0.997, Validation Accuracy: 0.988, Loss: 0.017\n", "Epoch 43 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.016\n", "Epoch 43 Batch 36/78 - Train Accuracy: 0.990, Validation Accuracy: 0.988, Loss: 0.022\n", "Epoch 43 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.020\n", "Epoch 43 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.013\n", "Epoch 43 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.018\n", "Epoch 43 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.016\n", "Epoch 43 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.985, Loss: 0.017\n", "Epoch 43 Batch 42/78 - Train Accuracy: 0.991, Validation Accuracy: 0.983, Loss: 0.019\n", "Epoch 43 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.020\n", "Epoch 43 Batch 44/78 - Train Accuracy: 0.998, Validation Accuracy: 0.992, Loss: 0.018\n", "Epoch 43 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.993, Loss: 0.019\n", "Epoch 43 Batch 46/78 - Train Accuracy: 0.996, Validation Accuracy: 0.994, Loss: 0.021\n", "Epoch 43 Batch 47/78 - Train Accuracy: 0.985, Validation Accuracy: 0.993, Loss: 0.021\n", "Epoch 43 Batch 48/78 - Train Accuracy: 0.992, Validation Accuracy: 0.990, Loss: 0.011\n", "Epoch 43 Batch 49/78 - Train Accuracy: 0.996, Validation Accuracy: 0.988, Loss: 0.019\n", "Epoch 43 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.019\n", "Epoch 43 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.013\n", "Epoch 43 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.018\n", "Epoch 43 Batch 53/78 - Train Accuracy: 0.997, Validation Accuracy: 0.982, Loss: 0.016\n", "Epoch 43 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.018\n", "Epoch 43 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.019\n", "Epoch 43 Batch 56/78 - Train Accuracy: 0.994, Validation Accuracy: 0.989, Loss: 0.020\n", "Epoch 43 Batch 57/78 - Train Accuracy: 0.994, Validation Accuracy: 0.990, Loss: 0.024\n", "Epoch 43 Batch 58/78 - Train Accuracy: 0.999, Validation Accuracy: 0.987, Loss: 0.021\n", "Epoch 43 Batch 59/78 - Train Accuracy: 0.990, Validation Accuracy: 0.980, Loss: 0.019\n", "Epoch 43 Batch 60/78 - Train Accuracy: 0.998, Validation Accuracy: 0.979, Loss: 0.016\n", "Epoch 43 Batch 61/78 - Train Accuracy: 0.988, Validation Accuracy: 0.987, Loss: 0.025\n", "Epoch 43 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.014\n", "Epoch 43 Batch 63/78 - Train Accuracy: 0.998, Validation Accuracy: 0.991, Loss: 0.015\n", "Epoch 43 Batch 64/78 - Train Accuracy: 0.991, Validation Accuracy: 0.982, Loss: 0.019\n", "Epoch 43 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.017\n", "Epoch 43 Batch 66/78 - Train Accuracy: 0.994, Validation Accuracy: 0.992, Loss: 0.016\n", "Epoch 43 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.012\n", "Epoch 43 Batch 68/78 - Train Accuracy: 0.998, Validation Accuracy: 0.988, Loss: 0.015\n", "Epoch 43 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.013\n", "Epoch 43 Batch 70/78 - Train Accuracy: 0.997, Validation Accuracy: 0.983, Loss: 0.016\n", "Epoch 43 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.014\n", "Epoch 43 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.016\n", "Epoch 43 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.022\n", "Epoch 43 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.016\n", "Epoch 43 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.014\n", "Epoch 43 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.018\n", "Epoch 44 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.016\n", "Epoch 44 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.988, Loss: 0.012\n", "Epoch 44 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.013\n", "Epoch 44 Batch 3/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.017\n", "Epoch 44 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.015\n", "Epoch 44 Batch 5/78 - Train Accuracy: 0.989, Validation Accuracy: 0.983, Loss: 0.018\n", "Epoch 44 Batch 6/78 - Train Accuracy: 0.996, Validation Accuracy: 0.981, Loss: 0.015\n", "Epoch 44 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.015\n", "Epoch 44 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.014\n", "Epoch 44 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.014\n", "Epoch 44 Batch 10/78 - Train Accuracy: 0.993, Validation Accuracy: 0.983, Loss: 0.017\n", "Epoch 44 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.018\n", "Epoch 44 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.016\n", "Epoch 44 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.015\n", "Epoch 44 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.011\n", "Epoch 44 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.017\n", "Epoch 44 Batch 16/78 - Train Accuracy: 0.994, Validation Accuracy: 0.985, Loss: 0.015\n", "Epoch 44 Batch 17/78 - Train Accuracy: 0.996, Validation Accuracy: 0.985, Loss: 0.013\n", "Epoch 44 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.984, Loss: 0.020\n", "Epoch 44 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.017\n", "Epoch 44 Batch 20/78 - Train Accuracy: 0.996, Validation Accuracy: 0.981, Loss: 0.014\n", "Epoch 44 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.017\n", "Epoch 44 Batch 22/78 - Train Accuracy: 0.987, Validation Accuracy: 0.978, Loss: 0.021\n", "Epoch 44 Batch 23/78 - Train Accuracy: 0.997, Validation Accuracy: 0.984, Loss: 0.013\n", "Epoch 44 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.016\n", "Epoch 44 Batch 25/78 - Train Accuracy: 0.991, Validation Accuracy: 0.984, Loss: 0.018\n", "Epoch 44 Batch 26/78 - Train Accuracy: 0.993, Validation Accuracy: 0.981, Loss: 0.021\n", "Epoch 44 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.017\n", "Epoch 44 Batch 28/78 - Train Accuracy: 0.996, Validation Accuracy: 0.984, Loss: 0.020\n", "Epoch 44 Batch 29/78 - Train Accuracy: 0.996, Validation Accuracy: 0.984, Loss: 0.016\n", "Epoch 44 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.014\n", "Epoch 44 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.012\n", "Epoch 44 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.016\n", "Epoch 44 Batch 33/78 - Train Accuracy: 0.996, Validation Accuracy: 0.984, Loss: 0.019\n", "Epoch 44 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.016\n", "Epoch 44 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.015\n", "Epoch 44 Batch 36/78 - Train Accuracy: 0.994, Validation Accuracy: 0.993, Loss: 0.018\n", "Epoch 44 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.018\n", "Epoch 44 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.012\n", "Epoch 44 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.017\n", "Epoch 44 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.015\n", "Epoch 44 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.990, Loss: 0.016\n", "Epoch 44 Batch 42/78 - Train Accuracy: 0.992, Validation Accuracy: 0.991, Loss: 0.017\n", "Epoch 44 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.019\n", "Epoch 44 Batch 44/78 - Train Accuracy: 0.998, Validation Accuracy: 0.992, Loss: 0.015\n", "Epoch 44 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.992, Loss: 0.017\n", "Epoch 44 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.019\n", "Epoch 44 Batch 47/78 - Train Accuracy: 0.993, Validation Accuracy: 0.992, Loss: 0.019\n", "Epoch 44 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.010\n", "Epoch 44 Batch 49/78 - Train Accuracy: 0.996, Validation Accuracy: 0.985, Loss: 0.018\n", "Epoch 44 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.017\n", "Epoch 44 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.012\n", "Epoch 44 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.017\n", "Epoch 44 Batch 53/78 - Train Accuracy: 0.997, Validation Accuracy: 0.987, Loss: 0.013\n", "Epoch 44 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.014\n", "Epoch 44 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.017\n", "Epoch 44 Batch 56/78 - Train Accuracy: 0.994, Validation Accuracy: 0.990, Loss: 0.018\n", "Epoch 44 Batch 57/78 - Train Accuracy: 0.994, Validation Accuracy: 0.991, Loss: 0.017\n", "Epoch 44 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.019\n", "Epoch 44 Batch 59/78 - Train Accuracy: 0.996, Validation Accuracy: 0.991, Loss: 0.016\n", "Epoch 44 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 44 Batch 61/78 - Train Accuracy: 0.992, Validation Accuracy: 0.988, Loss: 0.017\n", "Epoch 44 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.014\n", "Epoch 44 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.013\n", "Epoch 44 Batch 64/78 - Train Accuracy: 0.996, Validation Accuracy: 0.988, Loss: 0.016\n", "Epoch 44 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 44 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 44 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.011\n", "Epoch 44 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.015\n", "Epoch 44 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 44 Batch 70/78 - Train Accuracy: 0.997, Validation Accuracy: 0.987, Loss: 0.016\n", "Epoch 44 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.012\n", "Epoch 44 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.014\n", "Epoch 44 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.024\n", "Epoch 44 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.015\n", "Epoch 44 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.014\n", "Epoch 44 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.020\n", "Epoch 45 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.015\n", "Epoch 45 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.988, Loss: 0.012\n", "Epoch 45 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 45 Batch 3/78 - Train Accuracy: 0.996, Validation Accuracy: 0.988, Loss: 0.016\n", "Epoch 45 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.016\n", "Epoch 45 Batch 5/78 - Train Accuracy: 0.990, Validation Accuracy: 0.988, Loss: 0.018\n", "Epoch 45 Batch 6/78 - Train Accuracy: 0.996, Validation Accuracy: 0.984, Loss: 0.014\n", "Epoch 45 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.015\n", "Epoch 45 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.014\n", "Epoch 45 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.014\n", "Epoch 45 Batch 10/78 - Train Accuracy: 0.997, Validation Accuracy: 0.981, Loss: 0.016\n", "Epoch 45 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.016\n", "Epoch 45 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.014\n", "Epoch 45 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.014\n", "Epoch 45 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.011\n", "Epoch 45 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.016\n", "Epoch 45 Batch 16/78 - Train Accuracy: 0.994, Validation Accuracy: 0.988, Loss: 0.014\n", "Epoch 45 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.012\n", "Epoch 45 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.984, Loss: 0.018\n", "Epoch 45 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.015\n", "Epoch 45 Batch 20/78 - Train Accuracy: 0.996, Validation Accuracy: 0.981, Loss: 0.014\n", "Epoch 45 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.016\n", "Epoch 45 Batch 22/78 - Train Accuracy: 0.987, Validation Accuracy: 0.984, Loss: 0.019\n", "Epoch 45 Batch 23/78 - Train Accuracy: 0.997, Validation Accuracy: 0.984, Loss: 0.012\n", "Epoch 45 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 45 Batch 25/78 - Train Accuracy: 0.994, Validation Accuracy: 0.990, Loss: 0.017\n", "Epoch 45 Batch 26/78 - Train Accuracy: 0.993, Validation Accuracy: 0.987, Loss: 0.020\n", "Epoch 45 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.015\n", "Epoch 45 Batch 28/78 - Train Accuracy: 0.996, Validation Accuracy: 0.983, Loss: 0.018\n", "Epoch 45 Batch 29/78 - Train Accuracy: 0.996, Validation Accuracy: 0.990, Loss: 0.015\n", "Epoch 45 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.013\n", "Epoch 45 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.012\n", "Epoch 45 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.015\n", "Epoch 45 Batch 33/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.017\n", "Epoch 45 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.014\n", "Epoch 45 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.013\n", "Epoch 45 Batch 36/78 - Train Accuracy: 0.998, Validation Accuracy: 0.993, Loss: 0.017\n", "Epoch 45 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.015\n", "Epoch 45 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.011\n", "Epoch 45 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.015\n", "Epoch 45 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.013\n", "Epoch 45 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.992, Loss: 0.014\n", "Epoch 45 Batch 42/78 - Train Accuracy: 0.992, Validation Accuracy: 0.992, Loss: 0.015\n", "Epoch 45 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.017\n", "Epoch 45 Batch 44/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.013\n", "Epoch 45 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.994, Loss: 0.015\n", "Epoch 45 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.017\n", "Epoch 45 Batch 47/78 - Train Accuracy: 0.993, Validation Accuracy: 0.991, Loss: 0.018\n", "Epoch 45 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.009\n", "Epoch 45 Batch 49/78 - Train Accuracy: 0.996, Validation Accuracy: 0.985, Loss: 0.017\n", "Epoch 45 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.016\n", "Epoch 45 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.010\n", "Epoch 45 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.014\n", "Epoch 45 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.012\n", "Epoch 45 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 45 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.016\n", "Epoch 45 Batch 56/78 - Train Accuracy: 0.994, Validation Accuracy: 0.990, Loss: 0.017\n", "Epoch 45 Batch 57/78 - Train Accuracy: 0.994, Validation Accuracy: 0.992, Loss: 0.016\n", "Epoch 45 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.018\n", "Epoch 45 Batch 59/78 - Train Accuracy: 0.996, Validation Accuracy: 0.991, Loss: 0.016\n", "Epoch 45 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.012\n", "Epoch 45 Batch 61/78 - Train Accuracy: 0.998, Validation Accuracy: 0.988, Loss: 0.016\n", "Epoch 45 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.013\n", "Epoch 45 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.012\n", "Epoch 45 Batch 64/78 - Train Accuracy: 0.996, Validation Accuracy: 0.991, Loss: 0.015\n", "Epoch 45 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.012\n", "Epoch 45 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.013\n", "Epoch 45 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.010\n", "Epoch 45 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.997, Loss: 0.013\n", "Epoch 45 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.012\n", "Epoch 45 Batch 70/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 45 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.011\n", "Epoch 45 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.013\n", "Epoch 45 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.019\n", "Epoch 45 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.014\n", "Epoch 45 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.012\n", "Epoch 45 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.016\n", "Epoch 46 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.014\n", "Epoch 46 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.990, Loss: 0.011\n", "Epoch 46 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 46 Batch 3/78 - Train Accuracy: 0.996, Validation Accuracy: 0.991, Loss: 0.017\n", "Epoch 46 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 46 Batch 5/78 - Train Accuracy: 0.992, Validation Accuracy: 0.988, Loss: 0.016\n", "Epoch 46 Batch 6/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.013\n", "Epoch 46 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.015\n", "Epoch 46 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.012\n", "Epoch 46 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.014\n", "Epoch 46 Batch 10/78 - Train Accuracy: 0.997, Validation Accuracy: 0.983, Loss: 0.014\n", "Epoch 46 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.017\n", "Epoch 46 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.014\n", "Epoch 46 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.013\n", "Epoch 46 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.010\n", "Epoch 46 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.015\n", "Epoch 46 Batch 16/78 - Train Accuracy: 0.994, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 46 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.011\n", "Epoch 46 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.985, Loss: 0.016\n", "Epoch 46 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.014\n", "Epoch 46 Batch 20/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.013\n", "Epoch 46 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.015\n", "Epoch 46 Batch 22/78 - Train Accuracy: 0.991, Validation Accuracy: 0.989, Loss: 0.017\n", "Epoch 46 Batch 23/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 46 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.013\n", "Epoch 46 Batch 25/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.016\n", "Epoch 46 Batch 26/78 - Train Accuracy: 0.993, Validation Accuracy: 0.987, Loss: 0.018\n", "Epoch 46 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.013\n", "Epoch 46 Batch 28/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.017\n", "Epoch 46 Batch 29/78 - Train Accuracy: 0.996, Validation Accuracy: 0.983, Loss: 0.014\n", "Epoch 46 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.013\n", "Epoch 46 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.011\n", "Epoch 46 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.013\n", "Epoch 46 Batch 33/78 - Train Accuracy: 0.996, Validation Accuracy: 0.988, Loss: 0.016\n", "Epoch 46 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.013\n", "Epoch 46 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.013\n", "Epoch 46 Batch 36/78 - Train Accuracy: 0.998, Validation Accuracy: 0.991, Loss: 0.015\n", "Epoch 46 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.014\n", "Epoch 46 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 46 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.015\n", "Epoch 46 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.012\n", "Epoch 46 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.992, Loss: 0.013\n", "Epoch 46 Batch 42/78 - Train Accuracy: 0.989, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 46 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.017\n", "Epoch 46 Batch 44/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.013\n", "Epoch 46 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.994, Loss: 0.014\n", "Epoch 46 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.016\n", "Epoch 46 Batch 47/78 - Train Accuracy: 0.993, Validation Accuracy: 0.990, Loss: 0.017\n", "Epoch 46 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.009\n", "Epoch 46 Batch 49/78 - Train Accuracy: 0.996, Validation Accuracy: 0.984, Loss: 0.016\n", "Epoch 46 Batch 50/78 - Train Accuracy: 0.998, Validation Accuracy: 0.984, Loss: 0.015\n", "Epoch 46 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.010\n", "Epoch 46 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.014\n", "Epoch 46 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 46 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.012\n", "Epoch 46 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 46 Batch 56/78 - Train Accuracy: 0.994, Validation Accuracy: 0.990, Loss: 0.016\n", "Epoch 46 Batch 57/78 - Train Accuracy: 0.994, Validation Accuracy: 0.991, Loss: 0.015\n", "Epoch 46 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.016\n", "Epoch 46 Batch 59/78 - Train Accuracy: 0.996, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 46 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 46 Batch 61/78 - Train Accuracy: 0.998, Validation Accuracy: 0.987, Loss: 0.015\n", "Epoch 46 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.012\n", "Epoch 46 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.011\n", "Epoch 46 Batch 64/78 - Train Accuracy: 0.996, Validation Accuracy: 0.991, Loss: 0.014\n", "Epoch 46 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.012\n", "Epoch 46 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.012\n", "Epoch 46 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.009\n", "Epoch 46 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.012\n", "Epoch 46 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.011\n", "Epoch 46 Batch 70/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.013\n", "Epoch 46 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.010\n", "Epoch 46 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.012\n", "Epoch 46 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.017\n", "Epoch 46 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.013\n", "Epoch 46 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.011\n", "Epoch 46 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.015\n", "Epoch 47 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.013\n", "Epoch 47 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 47 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.010\n", "Epoch 47 Batch 3/78 - Train Accuracy: 0.996, Validation Accuracy: 0.994, Loss: 0.014\n", "Epoch 47 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.013\n", "Epoch 47 Batch 5/78 - Train Accuracy: 0.992, Validation Accuracy: 0.993, Loss: 0.015\n", "Epoch 47 Batch 6/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.012\n", "Epoch 47 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 47 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.012\n", "Epoch 47 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.013\n", "Epoch 47 Batch 10/78 - Train Accuracy: 0.997, Validation Accuracy: 0.983, Loss: 0.013\n", "Epoch 47 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.014\n", "Epoch 47 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 47 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.012\n", "Epoch 47 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 47 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.013\n", "Epoch 47 Batch 16/78 - Train Accuracy: 0.994, Validation Accuracy: 0.991, Loss: 0.011\n", "Epoch 47 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 47 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.990, Loss: 0.017\n", "Epoch 47 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.013\n", "Epoch 47 Batch 20/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.011\n", "Epoch 47 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.014\n", "Epoch 47 Batch 22/78 - Train Accuracy: 0.991, Validation Accuracy: 0.990, Loss: 0.018\n", "Epoch 47 Batch 23/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 47 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 47 Batch 25/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.015\n", "Epoch 47 Batch 26/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.017\n", "Epoch 47 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.013\n", "Epoch 47 Batch 28/78 - Train Accuracy: 0.994, Validation Accuracy: 0.983, Loss: 0.016\n", "Epoch 47 Batch 29/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.013\n", "Epoch 47 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.011\n", "Epoch 47 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.010\n", "Epoch 47 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.012\n", "Epoch 47 Batch 33/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.015\n", "Epoch 47 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.012\n", "Epoch 47 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 47 Batch 36/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.014\n", "Epoch 47 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.015\n", "Epoch 47 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 47 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 47 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.012\n", "Epoch 47 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.992, Loss: 0.012\n", "Epoch 47 Batch 42/78 - Train Accuracy: 0.989, Validation Accuracy: 0.994, Loss: 0.013\n", "Epoch 47 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.015\n", "Epoch 47 Batch 44/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.013\n", "Epoch 47 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.991, Loss: 0.014\n", "Epoch 47 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.015\n", "Epoch 47 Batch 47/78 - Train Accuracy: 0.993, Validation Accuracy: 0.989, Loss: 0.015\n", "Epoch 47 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.009\n", "Epoch 47 Batch 49/78 - Train Accuracy: 0.996, Validation Accuracy: 0.985, Loss: 0.015\n", "Epoch 47 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.015\n", "Epoch 47 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.009\n", "Epoch 47 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 47 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.010\n", "Epoch 47 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.012\n", "Epoch 47 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.014\n", "Epoch 47 Batch 56/78 - Train Accuracy: 0.994, Validation Accuracy: 0.991, Loss: 0.015\n", "Epoch 47 Batch 57/78 - Train Accuracy: 0.998, Validation Accuracy: 0.991, Loss: 0.014\n", "Epoch 47 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.015\n", "Epoch 47 Batch 59/78 - Train Accuracy: 0.996, Validation Accuracy: 0.991, Loss: 0.014\n", "Epoch 47 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.011\n", "Epoch 47 Batch 61/78 - Train Accuracy: 0.998, Validation Accuracy: 0.991, Loss: 0.014\n", "Epoch 47 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.011\n", "Epoch 47 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 47 Batch 64/78 - Train Accuracy: 0.996, Validation Accuracy: 0.991, Loss: 0.013\n", "Epoch 47 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 47 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 47 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.009\n", "Epoch 47 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.012\n", "Epoch 47 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.010\n", "Epoch 47 Batch 70/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.013\n", "Epoch 47 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 47 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.012\n", "Epoch 47 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.016\n", "Epoch 47 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.012\n", "Epoch 47 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.011\n", "Epoch 47 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.014\n", "Epoch 48 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.012\n", "Epoch 48 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 48 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.009\n", "Epoch 48 Batch 3/78 - Train Accuracy: 0.996, Validation Accuracy: 0.993, Loss: 0.014\n", "Epoch 48 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 48 Batch 5/78 - Train Accuracy: 0.996, Validation Accuracy: 0.993, Loss: 0.014\n", "Epoch 48 Batch 6/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.011\n", "Epoch 48 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.012\n", "Epoch 48 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 48 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.012\n", "Epoch 48 Batch 10/78 - Train Accuracy: 0.997, Validation Accuracy: 0.983, Loss: 0.013\n", "Epoch 48 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.013\n", "Epoch 48 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.012\n", "Epoch 48 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.012\n", "Epoch 48 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 48 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.012\n", "Epoch 48 Batch 16/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.011\n", "Epoch 48 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.009\n", "Epoch 48 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.989, Loss: 0.016\n", "Epoch 48 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.012\n", "Epoch 48 Batch 20/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.010\n", "Epoch 48 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.013\n", "Epoch 48 Batch 22/78 - Train Accuracy: 0.991, Validation Accuracy: 0.990, Loss: 0.016\n", "Epoch 48 Batch 23/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 48 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.011\n", "Epoch 48 Batch 25/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.015\n", "Epoch 48 Batch 26/78 - Train Accuracy: 0.996, Validation Accuracy: 0.988, Loss: 0.015\n", "Epoch 48 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.012\n", "Epoch 48 Batch 28/78 - Train Accuracy: 0.994, Validation Accuracy: 0.984, Loss: 0.015\n", "Epoch 48 Batch 29/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.012\n", "Epoch 48 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.011\n", "Epoch 48 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 48 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.011\n", "Epoch 48 Batch 33/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.014\n", "Epoch 48 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.011\n", "Epoch 48 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 48 Batch 36/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 48 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.014\n", "Epoch 48 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.008\n", "Epoch 48 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 48 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 48 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.992, Loss: 0.012\n", "Epoch 48 Batch 42/78 - Train Accuracy: 0.993, Validation Accuracy: 0.992, Loss: 0.012\n", "Epoch 48 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.014\n", "Epoch 48 Batch 44/78 - Train Accuracy: 0.999, Validation Accuracy: 0.991, Loss: 0.012\n", "Epoch 48 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 48 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.015\n", "Epoch 48 Batch 47/78 - Train Accuracy: 0.993, Validation Accuracy: 0.987, Loss: 0.014\n", "Epoch 48 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.008\n", "Epoch 48 Batch 49/78 - Train Accuracy: 0.996, Validation Accuracy: 0.985, Loss: 0.014\n", "Epoch 48 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.015\n", "Epoch 48 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.009\n", "Epoch 48 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 48 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 48 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 48 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 48 Batch 56/78 - Train Accuracy: 0.994, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 48 Batch 57/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.013\n", "Epoch 48 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.014\n", "Epoch 48 Batch 59/78 - Train Accuracy: 0.996, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 48 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 48 Batch 61/78 - Train Accuracy: 0.998, Validation Accuracy: 0.991, Loss: 0.013\n", "Epoch 48 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.011\n", "Epoch 48 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 48 Batch 64/78 - Train Accuracy: 0.996, Validation Accuracy: 0.992, Loss: 0.013\n", "Epoch 48 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.010\n", "Epoch 48 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.010\n", "Epoch 48 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 48 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 48 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 48 Batch 70/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.012\n", "Epoch 48 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 48 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 48 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.015\n", "Epoch 48 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.011\n", "Epoch 48 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 48 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.013\n", "Epoch 49 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.011\n", "Epoch 49 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 49 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 49 Batch 3/78 - Train Accuracy: 0.996, Validation Accuracy: 0.991, Loss: 0.013\n", "Epoch 49 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 49 Batch 5/78 - Train Accuracy: 0.997, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 49 Batch 6/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.010\n", "Epoch 49 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 49 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.010\n", "Epoch 49 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 49 Batch 10/78 - Train Accuracy: 0.997, Validation Accuracy: 0.989, Loss: 0.012\n", "Epoch 49 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 49 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.011\n", "Epoch 49 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.011\n", "Epoch 49 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.009\n", "Epoch 49 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.012\n", "Epoch 49 Batch 16/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 49 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.009\n", "Epoch 49 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.990, Loss: 0.015\n", "Epoch 49 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.012\n", "Epoch 49 Batch 20/78 - Train Accuracy: 0.996, Validation Accuracy: 0.984, Loss: 0.010\n", "Epoch 49 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.012\n", "Epoch 49 Batch 22/78 - Train Accuracy: 0.994, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 49 Batch 23/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.008\n", "Epoch 49 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 49 Batch 25/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 49 Batch 26/78 - Train Accuracy: 0.996, Validation Accuracy: 0.989, Loss: 0.014\n", "Epoch 49 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.011\n", "Epoch 49 Batch 28/78 - Train Accuracy: 0.994, Validation Accuracy: 0.987, Loss: 0.014\n", "Epoch 49 Batch 29/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.011\n", "Epoch 49 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 49 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.009\n", "Epoch 49 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.010\n", "Epoch 49 Batch 33/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 49 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 49 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 49 Batch 36/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.012\n", "Epoch 49 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 49 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.007\n", "Epoch 49 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.011\n", "Epoch 49 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 49 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.992, Loss: 0.012\n", "Epoch 49 Batch 42/78 - Train Accuracy: 0.993, Validation Accuracy: 0.994, Loss: 0.012\n", "Epoch 49 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.013\n", "Epoch 49 Batch 44/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 49 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.991, Loss: 0.012\n", "Epoch 49 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 49 Batch 47/78 - Train Accuracy: 0.993, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 49 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.007\n", "Epoch 49 Batch 49/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.013\n", "Epoch 49 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.014\n", "Epoch 49 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.009\n", "Epoch 49 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 49 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 49 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.011\n", "Epoch 49 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 49 Batch 56/78 - Train Accuracy: 0.994, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 49 Batch 57/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.012\n", "Epoch 49 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.013\n", "Epoch 49 Batch 59/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.014\n", "Epoch 49 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 49 Batch 61/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.013\n", "Epoch 49 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 49 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 49 Batch 64/78 - Train Accuracy: 0.996, Validation Accuracy: 0.994, Loss: 0.012\n", "Epoch 49 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.996, Loss: 0.010\n", "Epoch 49 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.996, Loss: 0.010\n", "Epoch 49 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 49 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 49 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 49 Batch 70/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.011\n", "Epoch 49 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.008\n", "Epoch 49 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 49 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 49 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 49 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 49 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.012\n", "Epoch 50 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 50 Batch 1/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.008\n", "Epoch 50 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 50 Batch 3/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.012\n", "Epoch 50 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.011\n", "Epoch 50 Batch 5/78 - Train Accuracy: 0.997, Validation Accuracy: 0.991, Loss: 0.012\n", "Epoch 50 Batch 6/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 50 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.010\n", "Epoch 50 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 50 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.011\n", "Epoch 50 Batch 10/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.011\n", "Epoch 50 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 50 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 50 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.010\n", "Epoch 50 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.009\n", "Epoch 50 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 50 Batch 16/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 50 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.008\n", "Epoch 50 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 50 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.011\n", "Epoch 50 Batch 20/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.009\n", "Epoch 50 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.011\n", "Epoch 50 Batch 22/78 - Train Accuracy: 0.994, Validation Accuracy: 0.987, Loss: 0.013\n", "Epoch 50 Batch 23/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.008\n", "Epoch 50 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 50 Batch 25/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 50 Batch 26/78 - Train Accuracy: 0.996, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 50 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 50 Batch 28/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.013\n", "Epoch 50 Batch 29/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 50 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.010\n", "Epoch 50 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.009\n", "Epoch 50 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.009\n", "Epoch 50 Batch 33/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.012\n", "Epoch 50 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 50 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 50 Batch 36/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.012\n", "Epoch 50 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.011\n", "Epoch 50 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 50 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 50 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 50 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 50 Batch 42/78 - Train Accuracy: 0.993, Validation Accuracy: 0.993, Loss: 0.011\n", "Epoch 50 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.012\n", "Epoch 50 Batch 44/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.009\n", "Epoch 50 Batch 45/78 - Train Accuracy: 0.998, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 50 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.013\n", "Epoch 50 Batch 47/78 - Train Accuracy: 0.997, Validation Accuracy: 0.990, Loss: 0.014\n", "Epoch 50 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.007\n", "Epoch 50 Batch 49/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.011\n", "Epoch 50 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.013\n", "Epoch 50 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.009\n", "Epoch 50 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 50 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 50 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 50 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 50 Batch 56/78 - Train Accuracy: 0.994, Validation Accuracy: 0.988, Loss: 0.013\n", "Epoch 50 Batch 57/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.011\n", "Epoch 50 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.012\n", "Epoch 50 Batch 59/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.014\n", "Epoch 50 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.009\n", "Epoch 50 Batch 61/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.012\n", "Epoch 50 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 50 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 50 Batch 64/78 - Train Accuracy: 0.996, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 50 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.010\n", "Epoch 50 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.010\n", "Epoch 50 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 50 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 50 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.008\n", "Epoch 50 Batch 70/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 50 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.008\n", "Epoch 50 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 50 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 50 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.009\n", "Epoch 50 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.009\n", "Epoch 50 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.012\n", "Epoch 51 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.010\n", "Epoch 51 Batch 1/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.008\n", "Epoch 51 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.007\n", "Epoch 51 Batch 3/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.011\n", "Epoch 51 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 51 Batch 5/78 - Train Accuracy: 0.997, Validation Accuracy: 0.989, Loss: 0.012\n", "Epoch 51 Batch 6/78 - Train Accuracy: 0.996, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 51 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 51 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.009\n", "Epoch 51 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 51 Batch 10/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 51 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.010\n", "Epoch 51 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 51 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 51 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 51 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 51 Batch 16/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 51 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.008\n", "Epoch 51 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 51 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 51 Batch 20/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.008\n", "Epoch 51 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.010\n", "Epoch 51 Batch 22/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.012\n", "Epoch 51 Batch 23/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.007\n", "Epoch 51 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 51 Batch 25/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 51 Batch 26/78 - Train Accuracy: 0.996, Validation Accuracy: 0.991, Loss: 0.013\n", "Epoch 51 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 51 Batch 28/78 - Train Accuracy: 0.996, Validation Accuracy: 0.991, Loss: 0.012\n", "Epoch 51 Batch 29/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.010\n", "Epoch 51 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 51 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.996, Loss: 0.009\n", "Epoch 51 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 51 Batch 33/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 51 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.009\n", "Epoch 51 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.010\n", "Epoch 51 Batch 36/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 51 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.011\n", "Epoch 51 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.007\n", "Epoch 51 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 51 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 51 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 51 Batch 42/78 - Train Accuracy: 0.993, Validation Accuracy: 0.993, Loss: 0.011\n", "Epoch 51 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.011\n", "Epoch 51 Batch 44/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.009\n", "Epoch 51 Batch 45/78 - Train Accuracy: 0.998, Validation Accuracy: 0.993, Loss: 0.010\n", "Epoch 51 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.013\n", "Epoch 51 Batch 47/78 - Train Accuracy: 0.997, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 51 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.006\n", "Epoch 51 Batch 49/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.011\n", "Epoch 51 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.012\n", "Epoch 51 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.008\n", "Epoch 51 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.011\n", "Epoch 51 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.008\n", "Epoch 51 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 51 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 51 Batch 56/78 - Train Accuracy: 0.994, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 51 Batch 57/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.011\n", "Epoch 51 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.012\n", "Epoch 51 Batch 59/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.013\n", "Epoch 51 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.009\n", "Epoch 51 Batch 61/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.012\n", "Epoch 51 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 51 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 51 Batch 64/78 - Train Accuracy: 0.996, Validation Accuracy: 0.993, Loss: 0.012\n", "Epoch 51 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.009\n", "Epoch 51 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 51 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 51 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 51 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.008\n", "Epoch 51 Batch 70/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.010\n", "Epoch 51 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.008\n", "Epoch 51 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.009\n", "Epoch 51 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.012\n", "Epoch 51 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.009\n", "Epoch 51 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 51 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 52 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.010\n", "Epoch 52 Batch 1/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.007\n", "Epoch 52 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.007\n", "Epoch 52 Batch 3/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 52 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 52 Batch 5/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.011\n", "Epoch 52 Batch 6/78 - Train Accuracy: 0.996, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 52 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 52 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.008\n", "Epoch 52 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 52 Batch 10/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 52 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 52 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 52 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 52 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 52 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 52 Batch 16/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.008\n", "Epoch 52 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 52 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.991, Loss: 0.012\n", "Epoch 52 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.009\n", "Epoch 52 Batch 20/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.008\n", "Epoch 52 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.010\n", "Epoch 52 Batch 22/78 - Train Accuracy: 0.994, Validation Accuracy: 0.985, Loss: 0.012\n", "Epoch 52 Batch 23/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.007\n", "Epoch 52 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.008\n", "Epoch 52 Batch 25/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.011\n", "Epoch 52 Batch 26/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 52 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 52 Batch 28/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.012\n", "Epoch 52 Batch 29/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.009\n", "Epoch 52 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.009\n", "Epoch 52 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 52 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 52 Batch 33/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.011\n", "Epoch 52 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 52 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 52 Batch 36/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 52 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.009\n", "Epoch 52 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.006\n", "Epoch 52 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 52 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 52 Batch 41/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 52 Batch 42/78 - Train Accuracy: 0.993, Validation Accuracy: 0.993, Loss: 0.010\n", "Epoch 52 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 52 Batch 44/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.008\n", "Epoch 52 Batch 45/78 - Train Accuracy: 0.998, Validation Accuracy: 0.994, Loss: 0.009\n", "Epoch 52 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 52 Batch 47/78 - Train Accuracy: 0.998, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 52 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 52 Batch 49/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.010\n", "Epoch 52 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.011\n", "Epoch 52 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.007\n", "Epoch 52 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.010\n", "Epoch 52 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 52 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 52 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 52 Batch 56/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 52 Batch 57/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 52 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.012\n", "Epoch 52 Batch 59/78 - Train Accuracy: 0.994, Validation Accuracy: 0.980, Loss: 0.011\n", "Epoch 52 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.009\n", "Epoch 52 Batch 61/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.017\n", "Epoch 52 Batch 62/78 - Train Accuracy: 0.999, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 52 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.013\n", "Epoch 52 Batch 64/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 52 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 52 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.012\n", "Epoch 52 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.010\n", "Epoch 52 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.011\n", "Epoch 52 Batch 69/78 - Train Accuracy: 0.998, Validation Accuracy: 0.982, Loss: 0.010\n", "Epoch 52 Batch 70/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.023\n", "Epoch 52 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.007\n", "Epoch 52 Batch 72/78 - Train Accuracy: 0.999, Validation Accuracy: 0.984, Loss: 0.020\n", "Epoch 52 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.015\n", "Epoch 52 Batch 74/78 - Train Accuracy: 0.999, Validation Accuracy: 0.992, Loss: 0.010\n", "Epoch 52 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 52 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 53 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 53 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.989, Loss: 0.009\n", "Epoch 53 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.009\n", "Epoch 53 Batch 3/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.013\n", "Epoch 53 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.012\n", "Epoch 53 Batch 5/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 53 Batch 6/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 53 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.014\n", "Epoch 53 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 53 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.010\n", "Epoch 53 Batch 10/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.009\n", "Epoch 53 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.013\n", "Epoch 53 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.996, Loss: 0.014\n", "Epoch 53 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.010\n", "Epoch 53 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.007\n", "Epoch 53 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.016\n", "Epoch 53 Batch 16/78 - Train Accuracy: 0.998, Validation Accuracy: 0.988, Loss: 0.010\n", "Epoch 53 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.009\n", "Epoch 53 Batch 18/78 - Train Accuracy: 0.997, Validation Accuracy: 0.983, Loss: 0.017\n", "Epoch 53 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.011\n", "Epoch 53 Batch 20/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.012\n", "Epoch 53 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.011\n", "Epoch 53 Batch 22/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.018\n", "Epoch 53 Batch 23/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.012\n", "Epoch 53 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.009\n", "Epoch 53 Batch 25/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.012\n", "Epoch 53 Batch 26/78 - Train Accuracy: 0.993, Validation Accuracy: 0.988, Loss: 0.018\n", "Epoch 53 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.013\n", "Epoch 53 Batch 28/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.015\n", "Epoch 53 Batch 29/78 - Train Accuracy: 0.999, Validation Accuracy: 0.989, Loss: 0.012\n", "Epoch 53 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 53 Batch 31/78 - Train Accuracy: 0.999, Validation Accuracy: 0.989, Loss: 0.011\n", "Epoch 53 Batch 32/78 - Train Accuracy: 0.998, Validation Accuracy: 0.985, Loss: 0.012\n", "Epoch 53 Batch 33/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.026\n", "Epoch 53 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.984, Loss: 0.011\n", "Epoch 53 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.021\n", "Epoch 53 Batch 36/78 - Train Accuracy: 0.998, Validation Accuracy: 0.990, Loss: 0.013\n", "Epoch 53 Batch 37/78 - Train Accuracy: 0.997, Validation Accuracy: 0.985, Loss: 0.013\n", "Epoch 53 Batch 38/78 - Train Accuracy: 0.999, Validation Accuracy: 0.992, Loss: 0.016\n", "Epoch 53 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 53 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.014\n", "Epoch 53 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.984, Loss: 0.014\n", "Epoch 53 Batch 42/78 - Train Accuracy: 0.993, Validation Accuracy: 0.983, Loss: 0.013\n", "Epoch 53 Batch 43/78 - Train Accuracy: 0.998, Validation Accuracy: 0.990, Loss: 0.017\n", "Epoch 53 Batch 44/78 - Train Accuracy: 0.998, Validation Accuracy: 0.985, Loss: 0.013\n", "Epoch 53 Batch 45/78 - Train Accuracy: 0.997, Validation Accuracy: 0.991, Loss: 0.017\n", "Epoch 53 Batch 46/78 - Train Accuracy: 0.998, Validation Accuracy: 0.984, Loss: 0.017\n", "Epoch 53 Batch 47/78 - Train Accuracy: 0.992, Validation Accuracy: 0.978, Loss: 0.015\n", "Epoch 53 Batch 48/78 - Train Accuracy: 0.992, Validation Accuracy: 0.978, Loss: 0.009\n", "Epoch 53 Batch 49/78 - Train Accuracy: 1.000, Validation Accuracy: 0.975, Loss: 0.017\n", "Epoch 53 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.020\n", "Epoch 53 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.013\n", "Epoch 53 Batch 52/78 - Train Accuracy: 0.997, Validation Accuracy: 0.982, Loss: 0.019\n", "Epoch 53 Batch 53/78 - Train Accuracy: 0.992, Validation Accuracy: 0.979, Loss: 0.020\n", "Epoch 53 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.023\n", "Epoch 53 Batch 55/78 - Train Accuracy: 0.999, Validation Accuracy: 0.984, Loss: 0.013\n", "Epoch 53 Batch 56/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.018\n", "Epoch 53 Batch 57/78 - Train Accuracy: 0.991, Validation Accuracy: 0.984, Loss: 0.024\n", "Epoch 53 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.019\n", "Epoch 53 Batch 59/78 - Train Accuracy: 0.998, Validation Accuracy: 0.982, Loss: 0.019\n", "Epoch 53 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.019\n", "Epoch 53 Batch 61/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.022\n", "Epoch 53 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.016\n", "Epoch 53 Batch 63/78 - Train Accuracy: 0.997, Validation Accuracy: 0.982, Loss: 0.013\n", "Epoch 53 Batch 64/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.022\n", "Epoch 53 Batch 65/78 - Train Accuracy: 0.999, Validation Accuracy: 0.984, Loss: 0.017\n", "Epoch 53 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.977, Loss: 0.011\n", "Epoch 53 Batch 67/78 - Train Accuracy: 0.997, Validation Accuracy: 0.974, Loss: 0.012\n", "Epoch 53 Batch 68/78 - Train Accuracy: 0.998, Validation Accuracy: 0.975, Loss: 0.019\n", "Epoch 53 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.011\n", "Epoch 53 Batch 70/78 - Train Accuracy: 0.999, Validation Accuracy: 0.990, Loss: 0.016\n", "Epoch 53 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 53 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.016\n", "Epoch 53 Batch 73/78 - Train Accuracy: 0.998, Validation Accuracy: 0.987, Loss: 0.018\n", "Epoch 53 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.012\n", "Epoch 53 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.014\n", "Epoch 53 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.018\n", "Epoch 54 Batch 0/78 - Train Accuracy: 0.997, Validation Accuracy: 0.988, Loss: 0.015\n", "Epoch 54 Batch 1/78 - Train Accuracy: 0.994, Validation Accuracy: 0.984, Loss: 0.013\n", "Epoch 54 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.013\n", "Epoch 54 Batch 3/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.016\n", "Epoch 54 Batch 4/78 - Train Accuracy: 0.999, Validation Accuracy: 0.987, Loss: 0.012\n", "Epoch 54 Batch 5/78 - Train Accuracy: 0.997, Validation Accuracy: 0.987, Loss: 0.015\n", "Epoch 54 Batch 6/78 - Train Accuracy: 0.992, Validation Accuracy: 0.988, Loss: 0.017\n", "Epoch 54 Batch 7/78 - Train Accuracy: 0.997, Validation Accuracy: 0.992, Loss: 0.014\n", "Epoch 54 Batch 8/78 - Train Accuracy: 0.996, Validation Accuracy: 0.981, Loss: 0.012\n", "Epoch 54 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.012\n", "Epoch 54 Batch 10/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.017\n", "Epoch 54 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.017\n", "Epoch 54 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.013\n", "Epoch 54 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.014\n", "Epoch 54 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.010\n", "Epoch 54 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.978, Loss: 0.013\n", "Epoch 54 Batch 16/78 - Train Accuracy: 1.000, Validation Accuracy: 0.982, Loss: 0.010\n", "Epoch 54 Batch 17/78 - Train Accuracy: 0.996, Validation Accuracy: 0.984, Loss: 0.011\n", "Epoch 54 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.985, Loss: 0.014\n", "Epoch 54 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.012\n", "Epoch 54 Batch 20/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.011\n", "Epoch 54 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 54 Batch 22/78 - Train Accuracy: 0.994, Validation Accuracy: 0.991, Loss: 0.014\n", "Epoch 54 Batch 23/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 54 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.010\n", "Epoch 54 Batch 25/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.017\n", "Epoch 54 Batch 26/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.015\n", "Epoch 54 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.010\n", "Epoch 54 Batch 28/78 - Train Accuracy: 0.998, Validation Accuracy: 0.988, Loss: 0.015\n", "Epoch 54 Batch 29/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.012\n", "Epoch 54 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.010\n", "Epoch 54 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.009\n", "Epoch 54 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 54 Batch 33/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.013\n", "Epoch 54 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.009\n", "Epoch 54 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.009\n", "Epoch 54 Batch 36/78 - Train Accuracy: 0.996, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 54 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.011\n", "Epoch 54 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 54 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 54 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 54 Batch 41/78 - Train Accuracy: 0.997, Validation Accuracy: 0.990, Loss: 0.011\n", "Epoch 54 Batch 42/78 - Train Accuracy: 0.994, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 54 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 54 Batch 44/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 54 Batch 45/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 54 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.012\n", "Epoch 54 Batch 47/78 - Train Accuracy: 0.993, Validation Accuracy: 0.992, Loss: 0.013\n", "Epoch 54 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 54 Batch 49/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.012\n", "Epoch 54 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 54 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.008\n", "Epoch 54 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.011\n", "Epoch 54 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.009\n", "Epoch 54 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.009\n", "Epoch 54 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 54 Batch 56/78 - Train Accuracy: 0.994, Validation Accuracy: 0.989, Loss: 0.013\n", "Epoch 54 Batch 57/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.011\n", "Epoch 54 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.011\n", "Epoch 54 Batch 59/78 - Train Accuracy: 0.996, Validation Accuracy: 0.992, Loss: 0.011\n", "Epoch 54 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.008\n", "Epoch 54 Batch 61/78 - Train Accuracy: 0.998, Validation Accuracy: 0.993, Loss: 0.012\n", "Epoch 54 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.008\n", "Epoch 54 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.997, Loss: 0.008\n", "Epoch 54 Batch 64/78 - Train Accuracy: 0.996, Validation Accuracy: 0.996, Loss: 0.010\n", "Epoch 54 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.009\n", "Epoch 54 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.996, Loss: 0.016\n", "Epoch 54 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.996, Loss: 0.007\n", "Epoch 54 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 54 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 54 Batch 70/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 54 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 54 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.012\n", "Epoch 54 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.012\n", "Epoch 54 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.009\n", "Epoch 54 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.009\n", "Epoch 54 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.979, Loss: 0.014\n", "Epoch 55 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 55 Batch 1/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.008\n", "Epoch 55 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.007\n", "Epoch 55 Batch 3/78 - Train Accuracy: 1.000, Validation Accuracy: 0.981, Loss: 0.009\n", "Epoch 55 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.980, Loss: 0.009\n", "Epoch 55 Batch 5/78 - Train Accuracy: 0.993, Validation Accuracy: 0.982, Loss: 0.013\n", "Epoch 55 Batch 6/78 - Train Accuracy: 0.996, Validation Accuracy: 0.987, Loss: 0.012\n", "Epoch 55 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.009\n", "Epoch 55 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.008\n", "Epoch 55 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.983, Loss: 0.009\n", "Epoch 55 Batch 10/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.012\n", "Epoch 55 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.011\n", "Epoch 55 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 55 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.010\n", "Epoch 55 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.007\n", "Epoch 55 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 55 Batch 16/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 55 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.008\n", "Epoch 55 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.988, Loss: 0.011\n", "Epoch 55 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.010\n", "Epoch 55 Batch 20/78 - Train Accuracy: 0.996, Validation Accuracy: 0.988, Loss: 0.008\n", "Epoch 55 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.011\n", "Epoch 55 Batch 22/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 55 Batch 23/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.006\n", "Epoch 55 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 55 Batch 25/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.011\n", "Epoch 55 Batch 26/78 - Train Accuracy: 0.998, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 55 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 55 Batch 28/78 - Train Accuracy: 0.996, Validation Accuracy: 0.991, Loss: 0.012\n", "Epoch 55 Batch 29/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.010\n", "Epoch 55 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 55 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 55 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 55 Batch 33/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 55 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.007\n", "Epoch 55 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.008\n", "Epoch 55 Batch 36/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.009\n", "Epoch 55 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.996, Loss: 0.009\n", "Epoch 55 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.005\n", "Epoch 55 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.008\n", "Epoch 55 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 55 Batch 41/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 55 Batch 42/78 - Train Accuracy: 0.994, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 55 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.010\n", "Epoch 55 Batch 44/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 55 Batch 45/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 55 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 55 Batch 47/78 - Train Accuracy: 0.998, Validation Accuracy: 0.992, Loss: 0.010\n", "Epoch 55 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.006\n", "Epoch 55 Batch 49/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 55 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 55 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.006\n", "Epoch 55 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.008\n", "Epoch 55 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.007\n", "Epoch 55 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.007\n", "Epoch 55 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 55 Batch 56/78 - Train Accuracy: 0.994, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 55 Batch 57/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.008\n", "Epoch 55 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.011\n", "Epoch 55 Batch 59/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 55 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 55 Batch 61/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 55 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 55 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 55 Batch 64/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 55 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 55 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.008\n", "Epoch 55 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 55 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 55 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 55 Batch 70/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.008\n", "Epoch 55 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 55 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 55 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.010\n", "Epoch 55 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.008\n", "Epoch 55 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.985, Loss: 0.007\n", "Epoch 55 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.009\n", "Epoch 56 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.008\n", "Epoch 56 Batch 1/78 - Train Accuracy: 0.996, Validation Accuracy: 0.990, Loss: 0.007\n", "Epoch 56 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 56 Batch 3/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 56 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.007\n", "Epoch 56 Batch 5/78 - Train Accuracy: 0.996, Validation Accuracy: 0.993, Loss: 0.009\n", "Epoch 56 Batch 6/78 - Train Accuracy: 1.000, Validation Accuracy: 0.996, Loss: 0.007\n", "Epoch 56 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.996, Loss: 0.008\n", "Epoch 56 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 56 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 56 Batch 10/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.007\n", "Epoch 56 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 56 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 56 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 56 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.005\n", "Epoch 56 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.007\n", "Epoch 56 Batch 16/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.007\n", "Epoch 56 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.005\n", "Epoch 56 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.993, Loss: 0.009\n", "Epoch 56 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.008\n", "Epoch 56 Batch 20/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 56 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.007\n", "Epoch 56 Batch 22/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.008\n", "Epoch 56 Batch 23/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.006\n", "Epoch 56 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.006\n", "Epoch 56 Batch 25/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 56 Batch 26/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.010\n", "Epoch 56 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.007\n", "Epoch 56 Batch 28/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 56 Batch 29/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 56 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 56 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 56 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.007\n", "Epoch 56 Batch 33/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.009\n", "Epoch 56 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.006\n", "Epoch 56 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 56 Batch 36/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.008\n", "Epoch 56 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.008\n", "Epoch 56 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.005\n", "Epoch 56 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 56 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.006\n", "Epoch 56 Batch 41/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.007\n", "Epoch 56 Batch 42/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.008\n", "Epoch 56 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.009\n", "Epoch 56 Batch 44/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.007\n", "Epoch 56 Batch 45/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.007\n", "Epoch 56 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 56 Batch 47/78 - Train Accuracy: 0.997, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 56 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.004\n", "Epoch 56 Batch 49/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.009\n", "Epoch 56 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 56 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 56 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 56 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 56 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 56 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 56 Batch 56/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 56 Batch 57/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 56 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 56 Batch 59/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 56 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 56 Batch 61/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 56 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.007\n", "Epoch 56 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 56 Batch 64/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.008\n", "Epoch 56 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 56 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 56 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.005\n", "Epoch 56 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 56 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.005\n", "Epoch 56 Batch 70/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 56 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.005\n", "Epoch 56 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.007\n", "Epoch 56 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.010\n", "Epoch 56 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.007\n", "Epoch 56 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.006\n", "Epoch 56 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.008\n", "Epoch 57 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.007\n", "Epoch 57 Batch 1/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 57 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 57 Batch 3/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 57 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 57 Batch 5/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.008\n", "Epoch 57 Batch 6/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.007\n", "Epoch 57 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.007\n", "Epoch 57 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.007\n", "Epoch 57 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.007\n", "Epoch 57 Batch 10/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.007\n", "Epoch 57 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 57 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 57 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 57 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.005\n", "Epoch 57 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.007\n", "Epoch 57 Batch 16/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 57 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.005\n", "Epoch 57 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 57 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 57 Batch 20/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 57 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 57 Batch 22/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 57 Batch 23/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.005\n", "Epoch 57 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 57 Batch 25/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 57 Batch 26/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 57 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 57 Batch 28/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 57 Batch 29/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.007\n", "Epoch 57 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 57 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 57 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.996, Loss: 0.006\n", "Epoch 57 Batch 33/78 - Train Accuracy: 1.000, Validation Accuracy: 0.997, Loss: 0.008\n", "Epoch 57 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.996, Loss: 0.006\n", "Epoch 57 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.996, Loss: 0.006\n", "Epoch 57 Batch 36/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 57 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 57 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.004\n", "Epoch 57 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.007\n", "Epoch 57 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 57 Batch 41/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 57 Batch 42/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 57 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.009\n", "Epoch 57 Batch 44/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 57 Batch 45/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 57 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 57 Batch 47/78 - Train Accuracy: 0.997, Validation Accuracy: 0.991, Loss: 0.009\n", "Epoch 57 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.004\n", "Epoch 57 Batch 49/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 57 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.007\n", "Epoch 57 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.005\n", "Epoch 57 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.007\n", "Epoch 57 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.005\n", "Epoch 57 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 57 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 57 Batch 56/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 57 Batch 57/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 57 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 57 Batch 59/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 57 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 57 Batch 61/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.008\n", "Epoch 57 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 57 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.005\n", "Epoch 57 Batch 64/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 57 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 57 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 57 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.005\n", "Epoch 57 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 57 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.005\n", "Epoch 57 Batch 70/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.007\n", "Epoch 57 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.005\n", "Epoch 57 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.007\n", "Epoch 57 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.008\n", "Epoch 57 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.006\n", "Epoch 57 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.006\n", "Epoch 57 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.007\n", "Epoch 58 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.006\n", "Epoch 58 Batch 1/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.005\n", "Epoch 58 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 58 Batch 3/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.007\n", "Epoch 58 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 58 Batch 5/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 58 Batch 6/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 58 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 58 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.006\n", "Epoch 58 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.006\n", "Epoch 58 Batch 10/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.006\n", "Epoch 58 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 58 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 58 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 58 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.005\n", "Epoch 58 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 58 Batch 16/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.005\n", "Epoch 58 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.005\n", "Epoch 58 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 58 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 58 Batch 20/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.006\n", "Epoch 58 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.007\n", "Epoch 58 Batch 22/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.007\n", "Epoch 58 Batch 23/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.004\n", "Epoch 58 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.005\n", "Epoch 58 Batch 25/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 58 Batch 26/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 58 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 58 Batch 28/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 58 Batch 29/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 58 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.005\n", "Epoch 58 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.005\n", "Epoch 58 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.006\n", "Epoch 58 Batch 33/78 - Train Accuracy: 1.000, Validation Accuracy: 0.996, Loss: 0.008\n", "Epoch 58 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.005\n", "Epoch 58 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 58 Batch 36/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 58 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 58 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.004\n", "Epoch 58 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.006\n", "Epoch 58 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 58 Batch 41/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 58 Batch 42/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 58 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 58 Batch 44/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.005\n", "Epoch 58 Batch 45/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 58 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 58 Batch 47/78 - Train Accuracy: 0.997, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 58 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.004\n", "Epoch 58 Batch 49/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 58 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.007\n", "Epoch 58 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.005\n", "Epoch 58 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.006\n", "Epoch 58 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.005\n", "Epoch 58 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.006\n", "Epoch 58 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 58 Batch 56/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 58 Batch 57/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 58 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.008\n", "Epoch 58 Batch 59/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.008\n", "Epoch 58 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.005\n", "Epoch 58 Batch 61/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 58 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 58 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.005\n", "Epoch 58 Batch 64/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 58 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 58 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 58 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.005\n", "Epoch 58 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 58 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.005\n", "Epoch 58 Batch 70/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 58 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.005\n", "Epoch 58 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.007\n", "Epoch 58 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.008\n", "Epoch 58 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.006\n", "Epoch 58 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.005\n", "Epoch 58 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.006\n", "Epoch 59 Batch 0/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.006\n", "Epoch 59 Batch 1/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.005\n", "Epoch 59 Batch 2/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.005\n", "Epoch 59 Batch 3/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 59 Batch 4/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 59 Batch 5/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 59 Batch 6/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 59 Batch 7/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 59 Batch 8/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.005\n", "Epoch 59 Batch 9/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 59 Batch 10/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 59 Batch 11/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 59 Batch 12/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.005\n", "Epoch 59 Batch 13/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.005\n", "Epoch 59 Batch 14/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.004\n", "Epoch 59 Batch 15/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 59 Batch 16/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.005\n", "Epoch 59 Batch 17/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.004\n", "Epoch 59 Batch 18/78 - Train Accuracy: 0.998, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 59 Batch 19/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 59 Batch 20/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.005\n", "Epoch 59 Batch 21/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.006\n", "Epoch 59 Batch 22/78 - Train Accuracy: 1.000, Validation Accuracy: 0.987, Loss: 0.007\n", "Epoch 59 Batch 23/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.004\n", "Epoch 59 Batch 24/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.005\n", "Epoch 59 Batch 25/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 59 Batch 26/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 59 Batch 27/78 - Train Accuracy: 1.000, Validation Accuracy: 0.993, Loss: 0.005\n", "Epoch 59 Batch 28/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 59 Batch 29/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 59 Batch 30/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.005\n", "Epoch 59 Batch 31/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.005\n", "Epoch 59 Batch 32/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.005\n", "Epoch 59 Batch 33/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.007\n", "Epoch 59 Batch 34/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.005\n", "Epoch 59 Batch 35/78 - Train Accuracy: 1.000, Validation Accuracy: 0.994, Loss: 0.005\n", "Epoch 59 Batch 36/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 59 Batch 37/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 59 Batch 38/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.004\n", "Epoch 59 Batch 39/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 59 Batch 40/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.005\n", "Epoch 59 Batch 41/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.005\n", "Epoch 59 Batch 42/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 59 Batch 43/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.008\n", "Epoch 59 Batch 44/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.005\n", "Epoch 59 Batch 45/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 59 Batch 46/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 59 Batch 47/78 - Train Accuracy: 0.997, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 59 Batch 48/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.003\n", "Epoch 59 Batch 49/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 59 Batch 50/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 59 Batch 51/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.004\n", "Epoch 59 Batch 52/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.006\n", "Epoch 59 Batch 53/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.005\n", "Epoch 59 Batch 54/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.005\n", "Epoch 59 Batch 55/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 59 Batch 56/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 59 Batch 57/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 59 Batch 58/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 59 Batch 59/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.007\n", "Epoch 59 Batch 60/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.005\n", "Epoch 59 Batch 61/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 59 Batch 62/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.005\n", "Epoch 59 Batch 63/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.005\n", "Epoch 59 Batch 64/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.007\n", "Epoch 59 Batch 65/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.005\n", "Epoch 59 Batch 66/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 59 Batch 67/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.004\n", "Epoch 59 Batch 68/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.006\n", "Epoch 59 Batch 69/78 - Train Accuracy: 1.000, Validation Accuracy: 0.992, Loss: 0.005\n", "Epoch 59 Batch 70/78 - Train Accuracy: 1.000, Validation Accuracy: 0.991, Loss: 0.006\n", "Epoch 59 Batch 71/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.005\n", "Epoch 59 Batch 72/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.006\n", "Epoch 59 Batch 73/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.007\n", "Epoch 59 Batch 74/78 - Train Accuracy: 1.000, Validation Accuracy: 0.989, Loss: 0.006\n", "Epoch 59 Batch 75/78 - Train Accuracy: 1.000, Validation Accuracy: 0.990, Loss: 0.005\n", "Epoch 59 Batch 76/78 - Train Accuracy: 1.000, Validation Accuracy: 0.988, Loss: 0.006\n" ] } ], "source": [ "import numpy as np\n", "\n", "train_source = source_ids[batch_size:]\n", "train_target = target_ids[batch_size:]\n", "\n", "valid_source = source_ids[:batch_size]\n", "valid_target = target_ids[:batch_size]\n", "\n", "sess.run(tf.global_variables_initializer())\n", "\n", "for epoch_i in range(epochs):\n", " for batch_i, (source_batch, target_batch) in enumerate(\n", " helper.batch_data(train_source, train_target, batch_size)):\n", " _, loss = sess.run(\n", " [train_op, cost],\n", " {input_data: source_batch, targets: target_batch, lr: learning_rate})\n", " batch_train_logits = sess.run(\n", " inference_logits,\n", " {input_data: source_batch})\n", " batch_valid_logits = sess.run(\n", " inference_logits,\n", " {input_data: valid_source})\n", "\n", " train_acc = np.mean(np.equal(target_batch, np.argmax(batch_train_logits, 2)))\n", " valid_acc = np.mean(np.equal(valid_target, np.argmax(batch_valid_logits, 2)))\n", " print('Epoch {:>3} Batch {:>4}/{} - Train Accuracy: {:>6.3f}, Validation Accuracy: {:>6.3f}, Loss: {:>6.3f}'\n", " .format(epoch_i, batch_i, len(source_ids) // batch_size, train_acc, valid_acc, loss))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Prediction" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "ename": "NameError", "evalue": "name 'inference_logits' is not defined", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-18-1b55c1c83546>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 6\u001b[0m \u001b[0mbatch_shell\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mnp\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mzeros\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mbatch_size\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0msequence_length\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 7\u001b[0m \u001b[0mbatch_shell\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;36m0\u001b[0m\u001b[0;34m]\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0minput_sentence\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 8\u001b[0;31m \u001b[0mchatbot_logits\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0msess\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mrun\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0minference_logits\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m{\u001b[0m\u001b[0minput_data\u001b[0m\u001b[0;34m:\u001b[0m \u001b[0mbatch_shell\u001b[0m\u001b[0;34m}\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;36m0\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 9\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 10\u001b[0m \u001b[0mprint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m'Input'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;31mNameError\u001b[0m: name 'inference_logits' is not defined" ] } ], "source": [ "input_sentence = 'hello'\n", "\n", "\n", "input_sentence = [source_letter_to_int.get(word, source_letter_to_int['<unk>']) for word in input_sentence.lower()]\n", "input_sentence = input_sentence + [0] * (sequence_length - len(input_sentence))\n", "batch_shell = np.zeros((batch_size, sequence_length))\n", "batch_shell[0] = input_sentence\n", "chatbot_logits = sess.run(inference_logits, {input_data: batch_shell})[0]\n", "\n", "print('Input')\n", "print(' Word Ids: {}'.format([i for i in input_sentence]))\n", "print(' Input Words: {}'.format([source_int_to_letter[i] for i in input_sentence]))\n", "\n", "print('\\nPrediction')\n", "print(' Word Ids: {}'.format([i for i in np.argmax(chatbot_logits, 1)]))\n", "print(' Chatbot Answer Words: {}'.format([target_int_to_letter[i] for i in np.argmax(chatbot_logits, 1)]))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.3" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
rdempsey/web-scraping-data-mining-course
week8/2_bi_dashboards_reporting/4 - Create and Save the Plots for the Dashboard.ipynb
1
5433
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Import the Python libraries we need\n", "import pandas as pd\n", "import numpy as np\n", "import matplotlib\n", "matplotlib.use('Agg')\n", "import matplotlib.pyplot as plt" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Import the data\n", "accidents_data_file = '/Users/robert.dempsey/Dropbox/Private/Art of Skill Hacking/Books/' \\\n", " 'Python Business Intelligence Cookbook/Data/Stats19-Data1979-2004/Accidents7904.csv'\n", "\n", "accidents = pd.read_csv(accidents_data_file,\n", " sep=',',\n", " header=0,\n", " index_col=False,\n", " parse_dates=True,\n", " tupleize_cols=False,\n", " error_bad_lines=False,\n", " warn_bad_lines=True,\n", " skip_blank_lines=True,\n", " low_memory=False\n", " )" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Weather conditions distribution" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "fig = plt.figure()\n", "ax = fig.add_subplot(111)\n", "ax.hist(accidents['Weather_Conditions'],\n", " range=(accidents['Weather_Conditions'].min(),\n", " accidents['Weather_Conditions'].max()))\n", "counts, bins, patches = ax.hist(accidents['Weather_Conditions'],\n", " facecolor='green',\n", " edgecolor='gray')\n", "ax.set_xticks(bins)\n", "plt.title('Weather Conditions Distribution')\n", "plt.xlabel('Weather Condition')\n", "plt.ylabel('Count of Weather Condition')\n", "plt.savefig('dashboard/charts/weather-conditions-distribution.png')" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Light Conditions" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "accidents.boxplot(column='Light_Conditions',\n", " return_type='dict');\n", "plt.savefig('dashboard/charts/light-conditions-boxplot.png')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Create a box plot of the light conditions grouped by weather conditions\n", "accidents.boxplot(column='Light_Conditions',\n", " by = 'Weather_Conditions',\n", " return_type='dict');\n", "plt.savefig('dashboard/charts/lc-by-wc-boxplot.png')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Time Series Analysis" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Create a dataframe containing the total number of casualties by date\n", "casualty_count = accidents.groupby('Date').agg({'Number_of_Casualties': np.sum})\n", "\n", "# Convert the index to a DateTimeIndex\n", "casualty_count.index = pd.to_datetime(casualty_count.index)\n", "\n", "# Sort the index so the plot looks correct\n", "casualty_count.sort_index(inplace=True,\n", " ascending=True)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Plot all the data\n", "casualty_count.plot(figsize=(18, 4))\n", "plt.savefig('dashboard/charts/casualty-count-all.png')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Plot one year of the data\n", "casualty_count['2000'].plot(figsize=(18, 4))\n", "plt.savefig('dashboard/charts/casualty-count-2000.png')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Plot the yearly total casualty count for each year in the 1980's\n", "the1980s = casualty_count['1980-01-01':'1989-12-31'].groupby(casualty_count['1980-01-01':'1989-12-31'].index.year).sum()\n", "the1980s.plot(figsize=(18, 4))\n", "plt.savefig('dashboard/charts/casualty-count-1980s.png')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
phockett/ePSproc
notebooks/plottingDev/hvPlot_Holoviews_examples_140720.ipynb
1
2943775
null
gpl-3.0
jllanfranchi/pygeneric
pdfmerge.ipynb
1
6606
{ "metadata": { "name": "" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "import re\n", "import numpy as np\n", "from IPython.display import display as D\n", "%rehashx" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "%cd ~/courses/phys518_critical_phenomena/lecture/" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "/home/justin/courses/phys518_critical_phenomena/lecture\n" ] } ], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "pdfnum_re = re.compile(r\"518_lecture_([0-9]{1,2})\\.pdf\")" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "files = !ls 518_lecture_*.pdf\n", "D(files)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "text": [ "['518_lecture_10.pdf',\n", " '518_lecture_11.pdf',\n", " '518_lecture_12.pdf',\n", " '518_lecture_13.pdf',\n", " '518_lecture_14.pdf',\n", " '518_lecture_1.pdf',\n", " '518_lecture_2.pdf',\n", " '518_lecture_3.pdf',\n", " '518_lecture_4.pdf',\n", " '518_lecture_5.pdf',\n", " '518_lecture_6.pdf',\n", " '518_lecture_7.pdf',\n", " '518_lecture_8.pdf',\n", " '518_lecture_9.pdf']" ] } ], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "newfiles = []\n", "idxnames = []\n", "for f in files:\n", " filenum = int(pdfnum_re.findall(f)[0])\n", " pdfname = r\"Lecture\\ \"+format(filenum, \"02d\")+\".pdf\" \n", " idxname = r\"Lecture \"+format(filenum, \"d\")\n", " newfiles.append(pdfname)\n", " idxnames.append(idxname)\n", " !cp $f $pdfname" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Merge the PDF's into one" ] }, { "cell_type": "code", "collapsed": false, "input": [ "tempfile = \"PHYS_518_lectures.tmp.pdf\"\n", "outfile = \"PHYS_518_lectures.pdf\"\n", "!pdfjoin Lecture*.pdf --outfile $tempfile" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ " ----\r\n", " pdfjam: This is pdfjam version 2.08.\r\n", " pdfjam: Reading any site-wide or user-specific defaults...\r\n", " (none found)\r\n", " pdfjam: Effective call for this run of pdfjam:\r\n", " /usr/bin/pdfjam --fitpaper 'true' --rotateoversize 'true' --suffix joined --outfile PHYS_518_lectures.tmp.pdf -- Lecture\\ 01.pdf - Lecture\\ 02.pdf - Lecture\\ 03.pdf - Lecture\\ 04.pdf - Lecture\\ 05.pdf - Lecture\\ 06.pdf - Lecture\\ 07.pdf - Lecture\\ 08.pdf - Lecture\\ 09.pdf - Lecture\\ 10.pdf - Lecture\\ 11.pdf - Lecture\\ 12.pdf - Lecture\\ 13.pdf - Lecture\\ 14.pdf - \r\n", " pdfjam: Calling pdflatex...\r\n", " pdfjam: Finished. Output was to 'PHYS_518_lectures.tmp.pdf'.\r\n" ] } ], "prompt_number": 6 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Sort the files and correspondig index entries" ] }, { "cell_type": "code", "collapsed": false, "input": [ "sortind = np.argsort(newfiles)\n", "newfiles = [ newfiles[i] for i in sortind ]\n", "idxnames = [ idxnames[i] for i in sortind ]\n", "D(idxnames)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "text": [ "['Lecture 1',\n", " 'Lecture 2',\n", " 'Lecture 3',\n", " 'Lecture 4',\n", " 'Lecture 5',\n", " 'Lecture 6',\n", " 'Lecture 7',\n", " 'Lecture 8',\n", " 'Lecture 9',\n", " 'Lecture 10',\n", " 'Lecture 11',\n", " 'Lecture 12',\n", " 'Lecture 13',\n", " 'Lecture 14']" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "r = re.compile(r\"Pages:\\s*([0-9]+)\")\n", "idxentries = []\n", "pagenum = 1\n", "for name in idxnames:\n", " idxentry = r\"[/Page \" + format(pagenum,\"d\") \\\n", " + r\" /View [/XYZ null null null] /Title (\" \\\n", " + name \\\n", " + r\") /OUT pdfmark\"\n", " idxentries.append(idxentry)\n", " pages_s = !pdfinfo $f | grep Pages\n", " pages = int(r.findall(pages_s[0])[0])\n", " pagenum += pages\n", "idx = \"\\n\".join(idxentries)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "with file(\"index.info\", \"w\") as idxinfo:\n", " idxinfo.write(idx)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 9 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Add the index to the pdf; instructions on creating index in PDF file obtained here:\n", "\n", "http://linproject.blogspot.com/2012/06/adding-index-to-your-pdf-file.html" ] }, { "cell_type": "code", "collapsed": false, "input": [ "!gs -sDEVICE=pdfwrite -q -dBATCH -dNOPAUSE \\\n", " -sOutputFile=$outfile index.info -f $tempfile " ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 10 }, { "cell_type": "code", "collapsed": false, "input": [ "!rm -f $tempfile" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 11 } ], "metadata": {} } ] }
mit
lucduff/Recommend_Top_5_Thai_Restaurants_in_Las_Vegas
Recommender-Models/recommender_with_ratings.ipynb
1
44182182
null
mit
dream-olfaction/olfaction-prediction
opc_python/hulab/collaboration/scoring and graphs.ipynb
1
205908
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "compares the predicted results to the true results and calculates the scores \n", "creates the graph" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# coding: utf-8\n", "\n", "# In[2]:\n", "\n", "import numpy as np\n", "import pandas as pd\n", "from scipy import stats as stats\n", "import os\n", "from matplotlib import pyplot as plt\n", "\n", "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "DESCRIPTORS=['INTENSITY/STRENGTH','VALENCE/PLEASANTNESS','BAKERY','SWEET','FRUIT','FISH','GARLIC','SPICES','COLD','SOUR','BURNT','ACID','WARM','MUSKY','SWEATY','AMMONIA/URINOUS','DECAYED','WOOD','GRASS','FLOWER','CHEMICAL']\n", "SUBJECTS=range(1,50)\n" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# function to calculate the scores\n", "\n", "def read_data(fname):\n", " data=pd.read_table(fname,sep='\\t',header=0,index_col='#oID')\n", " return data\n", " \n", "def calculate_correlations_2(path,split):\n", " score_frame = []\n", "\n", " r={}\n", " s={}\n", "\n", " data1=read_data(\"LB_data/LBs\"+str(split)+ \"_2\")\n", " #print(data1.head())\n", " data2=read_data(path)\n", " #print data1.shape,data2.shape\n", " data1 = data1.sort_index().sort_values('descriptor')\n", " data1.loc[data1.descriptor== ' CHEMICAL','descriptor'] = 'CHEMICAL'\n", " data1_mean = data1.reset_index().pivot_table(index = '#oID', columns = 'descriptor', values='value')\n", " data1_std = data1.reset_index().pivot_table(index = '#oID', columns = 'descriptor', values='sigma')\n", " data2 = data2.sort_index().sort_values('descriptor')\n", " data2_mean = data2.reset_index().pivot_table(index = '#oID', columns = 'descriptor', values='value')\n", " data2_std = data2.reset_index().pivot_table(index = '#oID', columns = 'descriptor', values='sigma')\n", " \n", " \n", " for desc in data1_std.columns:\n", " #print desc + ':' + str(stats.pearsonr(data1_mean[desc],data2_mean[desc])[0])\n", " if desc == 'INTENSITY/STRENGTH':\n", " score_frame.append([desc,stats.pearsonr(data1_mean[~data1_mean['INTENSITY/STRENGTH'].isnull()]['INTENSITY/STRENGTH'],\n", " data2_mean[~data2_mean['INTENSITY/STRENGTH'].isnull()]['INTENSITY/STRENGTH'])[0]])\n", " else:\n", " score_frame.append([desc,stats.pearsonr(data1_mean[desc],data2_mean[desc])[0]])\n", " # others.append(stats.pearsonr(data1_mean[desc],data2_mean[desc])[0])\n", " \n", "\n", " return pd.DataFrame(score_frame)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "# read the split prediction and calculate the scores\n", "scores_linear = {}\n", "scores_RF = {}\n", "for k in range(10):\n", " for feature_number in [1,2,3,4,5,10,33,100,333,1000,3333,10000]:\n", " #print feature_number\n", " if feature_number == 1:\n", " scores_RF[k] = calculate_correlations_2('results_morgan_RF/' + str(k) + '/subchallenge2_' + str(feature_number) + '.txt',k)\n", " scores_RF[k].set_index(0,inplace=1)\n", " scores_RF[k].columns = [1]\n", " \n", " scores_linear[k] = calculate_correlations_2('results_morgan/' + str(k) + '/subchallenge2_' + str(feature_number) + '.txt',k)\n", " scores_linear[k].set_index(0,inplace=1)\n", " scores_linear[k].columns = [1]\n", "\n", " \n", " else:\n", " scores_RF[k][feature_number] = calculate_correlations_2('results_morgan_RF/' + str(k) +'/subchallenge2_' + str(feature_number) + '.txt',k)[1].values\n", " scores_linear[k][feature_number] = calculate_correlations_2('results_morgan/' + str(k) +'/subchallenge2_' + str(feature_number) + '.txt',k)[1].values\n", "\n", " \n", "for k in range(10):\n", " scores_RF[k] = scores_RF[k].transpose()\n", " scores_RF[k] = scores_RF[k][DESCRIPTORS]\n", " scores_RF[k].head()\n", " \n", " scores_linear[k] = scores_linear[k].transpose()\n", " scores_linear[k] = scores_linear[k][DESCRIPTORS]\n", " scores_linear[k].head()\n", "\n", "\n", "\n", "all_scores_RF = pd.concat([scores_RF[x] for x in range(len(scores_RF))], axis=1, keys=range(len(scores_RF)))\n", "all_scores_linear = pd.concat([scores_linear[x] for x in range(len(scores_linear))], axis=1, keys=range(len(scores_linear)))\n" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Index([u'INTENSITY/STRENGTH', u'VALENCE/PLEASANTNESS', u'BAKERY', u'SWEET',\n", " u'FRUIT', u'FISH', u'GARLIC', u'SPICES', u'COLD', u'SOUR', u'BURNT',\n", " u'ACID', u'WARM', u'MUSKY', u'SWEATY', u'AMMONIA/URINOUS', u'DECAYED',\n", " u'WOOD', u'GRASS', u'FLOWER', u'CHEMICAL'],\n", " dtype='object', name=0)" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "scores_RF[0].columns" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#average the splits\n", "def average_splits(all_):\n", " mean = all_.groupby(level=1, axis=1).mean()\n", " mean.columns = DESCRIPTORS\n", " mean.index.name = 'number of features'\n", " mean.index.name = 'number of features'\n", " \n", "\n", " std = all_.groupby(level=1, axis=1).std()/np.sqrt(10)\n", " std.columns = DESCRIPTORS\n", " std.index.name = 'number of features'\n", " std.index.name = 'number of features'\n", " \n", " return mean,std" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "linear_mean_noleak,linear_sem_noleak = average_splits(all_scores_linear)\n", "RF_mean_noleak,RF_sem_noleak = average_splits(all_scores_RF)" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [], "source": [ "linear_mean_noleak.reset_index(inplace=1)\n", "linear_sem_noleak.reset_index(inplace=1)\n", "RF_mean_noleak.reset_index(inplace=1)\n", "RF_sem_noleak.reset_index(inplace=1)\n", "\n", "linear_mean_previous = pd.read_csv('2e_mean_noleak.csv')\n", "linear_sem_previous = pd.read_csv('2e_se_noleak.csv')" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABb4AAALpCAYAAAB8NzC5AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f46fdfafe90>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "n_features = [1,2,3,4,5,10,33,100,333,1000,3333,10000]\n", "#assert np.array_equal(np.array(n_features),np.array(linear_mean_noleak['number of features']))\n", "fig,axes = plt.subplots(3,7,sharex=False,sharey=True,figsize=(20,10))\n", "for col,ax in enumerate(axes.flat):\n", " lin_means = linear_mean_noleak[DESCRIPTORS[col]]\n", " RF_means = RF_mean_noleak[DESCRIPTORS[col]]\n", " previous_means = linear_mean_previous[DESCRIPTORS[col]]\n", " \n", " ax.errorbar(n_features,lin_means,linear_sem_noleak[DESCRIPTORS[col]],color='blue',label='linear')\n", " ax.errorbar(n_features,RF_means,RF_sem_noleak[DESCRIPTORS[col]],color='red',label='random forest')\n", " ax.errorbar(n_features,previous_means,linear_sem_previous[DESCRIPTORS[col]],color='cyan',label='lin previous')\n", " \n", " if col==0:\n", " ax.legend()\n", " handles, labels = ax.get_legend_handles_labels()\n", " lg = ax.legend(handles[1:], labels[1:], loc=4, fontsize=10)\n", " lg.draw_frame(False)\n", " ax.set_xlim(0.5,20000)\n", " ax.set_ylim(0,0.8)\n", " ax.set_yticks(np.linspace(0,0.6,4))\n", " ax.set_yticklabels([_ for _ in np.linspace(0,0.6,4)],size=20)\n", " ax.set_xticklabels(n_features,size=20)\n", " ax.set_xscale('log')\n", " descriptor = DESCRIPTORS[col].split('/')[1 if col==1 else 0]\n", " descriptor = descriptor[0]+descriptor[1:].lower()\n", " ax.set_title(descriptor, size=25)\n", "plt.tight_layout()\n", "fig.text(0.5, -0.025, 'Number of features', ha='center', size=25)\n", "fig.text(-0.02, 0.5, 'Correlation', va='center', rotation='vertical', size=25);" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
swails/mdtraj
examples/principal-components.ipynb
6
4194
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "scikit-learn is a machine learning library for python, with a very easy to use API and great documentation." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "from __future__ import print_function\n", "import mdtraj as md\n", "import matplotlib.pyplot as plt\n", "from sklearn.decomposition import PCA" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "Lets load up our trajectory. This is the trajectory that we generated in\n", "the \"Running a simulation in OpenMM and analyzing the results with mdtraj\"\n", "example." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "traj = md.load('ala2.h5')\n", "traj" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Create a two component PCA model, and project our data down into this\n", "reduced dimensional space. Using just the cartesian coordinates as\n", "input to PCA, it's important to start with some kind of alignment." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "pca1 = PCA(n_components=2)\n", "traj.superpose(traj, 0)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "reduced_cartesian = pca1.fit_transform(traj.xyz.reshape(traj.n_frames, traj.n_atoms * 3))\n", "print(reduced_cartesian.shape)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now we can plot the data on this projection." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "plt.figure()\n", "plt.scatter(reduced_cartesian[:, 0], reduced_cartesian[:,1], marker='x', c=traj.time)\n", "plt.xlabel('PC1')\n", "plt.ylabel('PC2')\n", "plt.title('Cartesian coordinate PCA: alanine dipeptide')\n", "cbar = plt.colorbar()\n", "cbar.set_label('Time [ps]')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Lets try cross-checking our result by using a different feature space that isn't sensitive to alignment, and instead to \"featurize\" our trajectory by computing the pairwise distance between every atom in each frame, and using that as our high dimensional input space for PCA." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "pca2 = PCA(n_components=2)\n", "\n", "from itertools import combinations\n", "# this python function gives you all unique pairs of elements from a list\n", "\n", "atom_pairs = list(combinations(range(traj.n_atoms), 2))\n", "pairwise_distances = md.geometry.compute_distances(traj, atom_pairs)\n", "print(pairwise_distances.shape)\n", "reduced_distances = pca2.fit_transform(pairwise_distances)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "plt.figure()\n", "plt.scatter(reduced_distances[:, 0], reduced_distances[:,1], marker='x', c=traj.time)\n", "plt.xlabel('PC1')\n", "plt.ylabel('PC2')\n", "plt.title('Pairwise distance PCA: alanine dipeptide')\n", "cbar = plt.colorbar()\n", "cbar.set_label('Time [ps]')" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
lgpl-2.1
jan-rybizki/Galaxia_wrap
notebook/.ipynb_checkpoints/[4]mag_limited_survey_function-checkpoint.ipynb
1
18223
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np\n", "import os, sys\n", "path = os.path.abspath('../library/')\n", "if path not in sys.path:\n", " sys.path.append(path)\n", "from convert_to_recarray import create_gdr2mock_mag_limited_survey" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "scrolled": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/home/rybizki/anaconda3/lib/python3.6/importlib/_bootstrap.py:219: RuntimeWarning: numpy.dtype size changed, may indicate binary incompatibility. Expected 96, got 88\n", " return f(*args, **kwds)\n", "/home/rybizki/anaconda3/lib/python3.6/importlib/_bootstrap.py:219: RuntimeWarning: numpy.dtype size changed, may indicate binary incompatibility. Expected 96, got 88\n", " return f(*args, **kwds)\n", "/home/rybizki/anaconda3/lib/python3.6/site-packages/sklearn/ensemble/weight_boosting.py:29: DeprecationWarning: numpy.core.umath_tests is an internal NumPy module and should not be imported. It will be removed in a future NumPy release.\n", " from numpy.core.umath_tests import inner1d\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Galaxia spawns catalogue\n", "########################################################################################\n", "############################# GALAXIA OUTPUT END ##################\n", "########################################################################################\n", "373381\n", "('rad', 'teff', 'vx', 'vy', 'vz', 'pz', 'px', 'py', 'feh', 'exbv_schlegel', 'lum', 'glon', 'glat', 'smass', 'age', 'grav', 'gaia_g', 'gaia_bpft', 'gaia_bpbr', 'gaia_rp', 'gaia_rvs', 'popid', 'mact')\n", "converting to npy and appending ra and dec took 2.0 sec\n", "0 373381\n", "converting time and applying extinction map for 373381 sources in nside = 512 took 1.7 sec\n", "indexing and remapping to isochrones took 2.9 sec\n", "calculating extinction curve for all bands took 9.4 sec\n", "373381\n", "373276\n", "calculated healpix\n", "calculated pmdec pmra and rv\n", "cleaning of data took 3.0 sec\n", "plotting time took 1.4 sec\n", "Total time in minutes: 0.7\n", "Galaxia spawns catalogue\n", "b'Galaxia-v0.81\\nCODEDATAPATH=/home/rybizki/Programme/GalaxiaData/\\nReading Parameter file- ../output/final_0.001/GDR2mock_20.7Gmag.log\\n--------------------------------------------------------\\noutputFile GDR2mock_20.7Gmag \\nmodelFile Model/population_parameters_BGM_update.ebf\\ncodeDataDir /home/rybizki/Programme/GalaxiaData\\noutputDir ../output/final_0.001 \\nphotoSys parsec1/GAIADR3 \\nmagcolorNames gaia_g,gaia_bpft-gaia_rp\\nappMagLimits[0] -1000.000000 \\nappMagLimits[1] 20.700000 \\nabsMagLimits[0] -1000.000000 \\nabsMagLimits[1] 1000.000000 \\ncolorLimits[0] -1000.000000 \\ncolorLimits[1] 1000.000000 \\ngeometryOption 0 \\nlongitude 0.000000 \\nlatitude 90.000000 \\nsurveyArea 1000.000000 \\nfSample 0.001000 \\npopID -1 \\nwarpFlareOn 1 \\nseed 1 \\nr_max 1000.000000 \\nstarType 0 \\nphotoError 0 \\n--------------------------------------------------------\\nReading tabulated values from file- /home/rybizki/Programme/GalaxiaData/Model/vcirc.dat\\nUsing geometry: All Sky\\nReading Isochrones from dir- /home/rybizki/Programme/GalaxiaData/Isochrones/padova/parsec1/GAIADR3\\nzsol=0.0152\\n/home/rybizki/Programme/GalaxiaData/Isochrones/padova/parsec1/GAIADR3\\n13275 75 177\\nIsochrone Grid Size: (Age bins=177,Feh bins=75,Alpha bins=1)\\nTime Isochrone Reading 1.22976 \\nGenerating populations................\\n--------------------------------------------------------\\nThin disc sigma_v=[50, 32.3, 21, 0.33, 0.33]\\n [feh, dfeh]=[0.01, -0.12], [0.01, 0.18]\\nThin Disk,ID=0:\\nReading tree from file- /home/rybizki/Programme/GalaxiaData/BHTree-2.3/bhtree_with_wf/bhtree_0_E1.ebf\\nTime Tree generation/reading = 0.60054 \\nCompleted % <0..10..20..30..40..50..60..70..80..90..>\\nStars spawned = 68930 \\nTime Spawning= 13.5415 \\n--------------------------------------------------------\\nThin disc sigma_v=[50, 32.3, 21, 0.33, 0.33]\\n [feh, dfeh]=[0.01, -0.12], [0.01, 0.18]\\nThin Disk,ID=1:\\nReading tree from file- /home/rybizki/Programme/GalaxiaData/BHTree-2.3/bhtree_with_wf/bhtree_1_E1.ebf\\nTime Tree generation/reading = 0.536949 \\nCompleted % <0..9..19..29..39..49..59..69..79..89..99..>\\nStars spawned = 341894 \\nTime Spawning= 16.7414 \\n--------------------------------------------------------\\nThin disc sigma_v=[50, 32.3, 21, 0.33, 0.33]\\n [feh, dfeh]=[0.01, -0.12], [0.01, 0.18]\\nThin Disk,ID=2:\\nReading tree from file- /home/rybizki/Programme/GalaxiaData/BHTree-2.3/bhtree_with_wf/bhtree_2_E1.ebf\\nTime Tree generation/reading = 0.876178 \\nCompleted % <0..9..19..29..39..49..59..69..79..89..99..>\\nStars spawned = 402616 \\nTime Spawning= 18.4471 \\n--------------------------------------------------------\\nThin disc sigma_v=[50, 32.3, 21, 0.33, 0.33]\\n [feh, dfeh]=[0.01, -0.12], [0.01, 0.18]\\nThin Disk,ID=3:\\nReading tree from file- /home/rybizki/Programme/GalaxiaData/BHTree-2.3/bhtree_with_wf/bhtree_3_E1.ebf\\nTime Tree generation/reading = 0.794519 \\nCompleted % <0..9..19..29..39..49..59..69..79..89..99..>\\nStars spawned = 393733 \\nTime Spawning= 18.4576 \\n--------------------------------------------------------\\nThin disc sigma_v=[50, 32.3, 21, 0.33, 0.33]\\n [feh, dfeh]=[0.01, -0.12], [0.01, 0.18]\\nThin Disk,ID=4:\\nReading tree from file- /home/rybizki/Programme/GalaxiaData/BHTree-2.3/bhtree_with_wf/bhtree_4_E1.ebf\\nTime Tree generation/reading = 1.05281 \\nCompleted % <0..9..19..29..39..49..59..69..79..89..99..>\\nStars spawned = 792932 \\nTime Spawning= 24.5199 \\n--------------------------------------------------------\\nThin disc sigma_v=[50, 32.3, 21, 0.33, 0.33]\\n [feh, dfeh]=[0.01, -0.12], [0.01, 0.18]\\nThin Disk,ID=5:\\nReading tree from file- /home/rybizki/Programme/GalaxiaData/BHTree-2.3/bhtree_with_wf/bhtree_5_E1.ebf\\nTime Tree generation/reading = 1.13645 \\nCompleted % <0..9..19..29..39..49..59..69..79..89..99..>\\nStars spawned = 845645 \\nTime Spawning= 27.9559 \\n--------------------------------------------------------\\nThin disc sigma_v=[50, 32.3, 21, 0.33, 0.33]\\n [feh, dfeh]=[0.01, -0.12], [0.01, 0.18]\\nThin Disk,ID=6:\\nReading tree from file- /home/rybizki/Programme/GalaxiaData/BHTree-2.3/bhtree_with_wf/bhtree_6_E1.ebf\\nTime Tree generation/reading = 1.42594 \\nCompleted % <0..9..19..29..39..49..59..69..79..89..99..>\\nStars spawned = 1176275 \\nTime Spawning= 44.2045 \\n--------------------------------------------------------\\nfeh=-0.17 sig_feh=0.27\\nThick disc sigma_v=[67, 51, 42, 0.33, 0.33]\\n [feh, dfeh, age, dage]=[-0.48, 0.3, 1.1e+10, 1e+09]\\nThickDisk:\\nReading tree from file- /home/rybizki/Programme/GalaxiaData/BHTree-2.3/bhtree_with_wf/bhtree_7_E0.ebf\\nTime Tree generation/reading = 0.075502 \\nCompleted % <0..9..19..29..39..49..59..69..79..89..99..>\\nStars spawned = 1215995 \\nTime Spawning= 10.2788 \\n--------------------------------------------------------\\nSpheroid sigma_v=[131, 106, 85]\\n [feh, dfeh, age, dage]=[-1.5, 0.5, 1.3e+10, 0]\\nSpheroid:\\nReading tree from file- /home/rybizki/Programme/GalaxiaData/BHTree-2.3/bhtree_with_wf/bhtree_8_E0.ebf\\nTime Tree generation/reading = 0.076854 \\nCompleted % <0..9..19..29..39..49..59..69..79..89..99..>\\nStars spawned = 57378 \\nTime Spawning= 5.56176 \\n--------------------------------------------------------\\nBulge sigma_v=[113, 115, 100, 71.62]\\n [feh, dfeh, age, dage]=[0, 0.2, 1e+10, 0]\\nBulge:\\nReading tree from file- /home/rybizki/Programme/GalaxiaData/BHTree-2.3/bhtree_with_wf/bhtree_9_E0.ebf\\nTime Tree generation/reading = 0.97569 \\nCompleted % <0..9..19..29..39..49..59..69..79..89..99..>\\nStars spawned = 1192144 \\nTime Spawning= 32.4654 \\n--------------------------------------------------------\\nTotal stars written 6487542 \\nFile written- ../output/final_0.001//GDR2mock_20.7Gmag.ebf\\nCalulating magnitudes................\\nReading Isochrones from dir- /home/rybizki/Programme/GalaxiaData/Isochrones/padova/parsec1/GAIADR3\\nzsol=0.0152\\n/home/rybizki/Programme/GalaxiaData/Isochrones/padova/parsec1/GAIADR3\\n13275 75 177\\nIsochrone Grid Size: (Age bins=177,Feh bins=75,Alpha bins=1)\\nTime Isochrone Reading 1.9442 \\ngaia_g\\ngaia_bpbr\\ngaia_bpft\\ngaia_rp\\ngaia_rvs\\nCalulating Extinction................\\nTime for extinction calculation 2.29223 \\nTotal Time= 228.51 \\n'\n", "########################################################################################\n", "############################# GALAXIA OUTPUT END ##################\n", "########################################################################################\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "6487542\n", "('rad', 'teff', 'vx', 'vy', 'vz', 'pz', 'px', 'py', 'feh', 'exbv_schlegel', 'lum', 'glon', 'glat', 'smass', 'age', 'grav', 'gaia_g', 'gaia_bpft', 'gaia_bpbr', 'gaia_rp', 'gaia_rvs', 'popid', 'mact')\n", "converting to npy and appending ra and dec took 36.7 sec\n", "0 6487542\n", "1000000 6487542\n", "2000000 6487542\n", "3000000 6487542\n", "4000000 6487542\n", "5000000 6487542\n", "6000000 6487542\n", "converting time and applying extinction map for 6487542 sources in nside = 512 took 21.1 sec\n", "indexing and remapping to isochrones took 42.8 sec\n", "calculating extinction curve for all bands took 173.9 sec\n", "6487542\n", "1663424\n", "calculated healpix\n", "calculated pmdec pmra and rv\n", "cleaning of data took 16.4 sec\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/home/rybizki/Desktop/Galaxia_wrap-master/library/defaults.py:9: UserWarning: \n", "This call to matplotlib.use() has no effect because the backend has already\n", "been chosen; matplotlib.use() must be called *before* pylab, matplotlib.pyplot,\n", "or matplotlib.backends is imported for the first time.\n", "\n", "The backend was *originally* set to 'module://ipykernel.pylab.backend_inline' by the following code:\n", " File \"/home/rybizki/anaconda3/lib/python3.6/runpy.py\", line 193, in _run_module_as_main\n", " \"__main__\", mod_spec)\n", " File \"/home/rybizki/anaconda3/lib/python3.6/runpy.py\", line 85, in _run_code\n", " exec(code, run_globals)\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/ipykernel_launcher.py\", line 16, in <module>\n", " app.launch_new_instance()\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/traitlets/config/application.py\", line 658, in launch_instance\n", " app.start()\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/ipykernel/kernelapp.py\", line 477, in start\n", " ioloop.IOLoop.instance().start()\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/zmq/eventloop/ioloop.py\", line 177, in start\n", " super(ZMQIOLoop, self).start()\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/tornado/ioloop.py\", line 888, in start\n", " handler_func(fd_obj, events)\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/tornado/stack_context.py\", line 277, in null_wrapper\n", " return fn(*args, **kwargs)\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/zmq/eventloop/zmqstream.py\", line 440, in _handle_events\n", " self._handle_recv()\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/zmq/eventloop/zmqstream.py\", line 472, in _handle_recv\n", " self._run_callback(callback, msg)\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/zmq/eventloop/zmqstream.py\", line 414, in _run_callback\n", " callback(*args, **kwargs)\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/tornado/stack_context.py\", line 277, in null_wrapper\n", " return fn(*args, **kwargs)\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/ipykernel/kernelbase.py\", line 283, in dispatcher\n", " return self.dispatch_shell(stream, msg)\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/ipykernel/kernelbase.py\", line 235, in dispatch_shell\n", " handler(stream, idents, msg)\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/ipykernel/kernelbase.py\", line 399, in execute_request\n", " user_expressions, allow_stdin)\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/ipykernel/ipkernel.py\", line 196, in do_execute\n", " res = shell.run_cell(code, store_history=store_history, silent=silent)\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/ipykernel/zmqshell.py\", line 533, in run_cell\n", " return super(ZMQInteractiveShell, self).run_cell(*args, **kwargs)\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/IPython/core/interactiveshell.py\", line 2698, in run_cell\n", " interactivity=interactivity, compiler=compiler, result=result)\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/IPython/core/interactiveshell.py\", line 2808, in run_ast_nodes\n", " if self.run_code(code, result):\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/IPython/core/interactiveshell.py\", line 2862, in run_code\n", " exec(code_obj, self.user_global_ns, self.user_ns)\n", " File \"<ipython-input-2-c21c51b8ef21>\", line 8, in <module>\n", " fSample = 0.001, make_likelihood_asessment=False)\n", " File \"/home/rybizki/Desktop/Galaxia_wrap-master/library/convert_to_recarray.py\", line 198, in create_gdr2mock_mag_limited_survey\n", " plot_sky_map(x,nside,fSample,appMagLimits1,outputDir,outputFile)\n", " File \"/home/rybizki/Desktop/Galaxia_wrap-master/library/util.py\", line 249, in plot_sky_map\n", " import matplotlib.pylab as plt\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/matplotlib/pylab.py\", line 252, in <module>\n", " from matplotlib import cbook, mlab, pyplot as plt\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/matplotlib/pyplot.py\", line 71, in <module>\n", " from matplotlib.backends import pylab_setup\n", " File \"/home/rybizki/anaconda3/lib/python3.6/site-packages/matplotlib/backends/__init__.py\", line 16, in <module>\n", " line for line in traceback.format_stack()\n", "\n", "\n", " matplotlib.use('Agg') ## use a non-interactive Agg background\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "total number of stars = 1663424000\n", "0.0\n", "1663424000.0128412\n", "126843610227.43547\n", "plotting time took 7.3 sec\n", "Total time in minutes: 8.8\n" ] } ], "source": [ "name = 'final'\n", "create_gdr2mock_mag_limited_survey(nside = 512, outputDir = '../output/%s_100pc' %(name),\n", " use_previous = False, delete_ebf = True,\n", " fSample = 1, make_likelihood_asessment=False, r_max = 0.1,\n", " verbose = False)\n", "create_gdr2mock_mag_limited_survey(nside = 512, outputDir = '../output/%s_0.001' %(name),\n", " use_previous = False, delete_ebf = True,\n", " fSample = 0.001, make_likelihood_asessment=False)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.3" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
DamienIrving/ocean-analysis
development/EBAF-TOA.ipynb
1
607621
{ "cells": [ { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## CERES TOA data\n", "\n", "Playing around with the CERES EBAF-TOA Ed4.0 net TOA all-sky radiative flux data downloaded from [here](https://ceres-tool.larc.nasa.gov/ord-tool/jsp/EBAF4Selection.jsp)." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "import re\n", "import glob\n", "import numpy\n", "import matplotlib.pyplot as plt\n", "import iris\n", "import iris.coord_categorisation\n", "from iris.experimental.equalise_cubes import equalise_attributes\n", "import iris.plot as iplt\n", "import warnings\n", "warnings.filterwarnings('ignore')" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "infile = '/g/data/r87/dbi599/data_ceres/CERES_EBAF-TOA_Ed4.0_Subset_200003-201312.nc'" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "<iris 'Cube' of Top of The Atmosphere Net Flux, Monthly Means, All-Sky conditions / (W m-2) (time: 166; latitude: 180; longitude: 360)>" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cube = iris.load(infile)[0]\n", "cube" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "cube.coord('time').guess_bounds()" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "DimCoord([2000-03-15 00:00:00, 2000-04-15 00:00:00, 2000-05-15 00:00:00,\n", " 2000-06-15 00:00:00, 2000-07-15 00:00:00, 2000-08-15 00:00:00,\n", " 2000-09-15 00:00:00, 2000-10-15 00:00:00, 2000-11-15 00:00:00,\n", " 2000-12-15 00:00:00, 2001-01-15 00:00:00, 2001-02-15 00:00:00,\n", " 2001-03-15 00:00:00, 2001-04-15 00:00:00, 2001-05-15 00:00:00,\n", " 2001-06-15 00:00:00, 2001-07-15 00:00:00, 2001-08-15 00:00:00,\n", " 2001-09-15 00:00:00, 2001-10-15 00:00:00, 2001-11-15 00:00:00,\n", " 2001-12-15 00:00:00, 2002-01-15 00:00:00, 2002-02-15 00:00:00,\n", " 2002-03-15 00:00:00, 2002-04-15 00:00:00, 2002-05-15 00:00:00,\n", " 2002-06-15 00:00:00, 2002-07-15 00:00:00, 2002-08-15 00:00:00,\n", " 2002-09-15 00:00:00, 2002-10-15 00:00:00, 2002-11-15 00:00:00,\n", " 2002-12-15 00:00:00, 2003-01-15 00:00:00, 2003-02-15 00:00:00,\n", " 2003-03-15 00:00:00, 2003-04-15 00:00:00, 2003-05-15 00:00:00,\n", " 2003-06-15 00:00:00, 2003-07-15 00:00:00, 2003-08-15 00:00:00,\n", " 2003-09-15 00:00:00, 2003-10-15 00:00:00, 2003-11-15 00:00:00,\n", " 2003-12-15 00:00:00, 2004-01-15 00:00:00, 2004-02-15 00:00:00,\n", " 2004-03-15 00:00:00, 2004-04-15 00:00:00, 2004-05-15 00:00:00,\n", " 2004-06-15 00:00:00, 2004-07-15 00:00:00, 2004-08-15 00:00:00,\n", " 2004-09-15 00:00:00, 2004-10-15 00:00:00, 2004-11-15 00:00:00,\n", " 2004-12-15 00:00:00, 2005-01-15 00:00:00, 2005-02-15 00:00:00,\n", " 2005-03-15 00:00:00, 2005-04-15 00:00:00, 2005-05-15 00:00:00,\n", " 2005-06-15 00:00:00, 2005-07-15 00:00:00, 2005-08-15 00:00:00,\n", " 2005-09-15 00:00:00, 2005-10-15 00:00:00, 2005-11-15 00:00:00,\n", " 2005-12-15 00:00:00, 2006-01-15 00:00:00, 2006-02-15 00:00:00,\n", " 2006-03-15 00:00:00, 2006-04-15 00:00:00, 2006-05-15 00:00:00,\n", " 2006-06-15 00:00:00, 2006-07-15 00:00:00, 2006-08-15 00:00:00,\n", " 2006-09-15 00:00:00, 2006-10-15 00:00:00, 2006-11-15 00:00:00,\n", " 2006-12-15 00:00:00, 2007-01-15 00:00:00, 2007-02-15 00:00:00,\n", " 2007-03-15 00:00:00, 2007-04-15 00:00:00, 2007-05-15 00:00:00,\n", " 2007-06-15 00:00:00, 2007-07-15 00:00:00, 2007-08-15 00:00:00,\n", " 2007-09-15 00:00:00, 2007-10-15 00:00:00, 2007-11-15 00:00:00,\n", " 2007-12-15 00:00:00, 2008-01-15 00:00:00, 2008-02-15 00:00:00,\n", " 2008-03-15 00:00:00, 2008-04-15 00:00:00, 2008-05-15 00:00:00,\n", " 2008-06-15 00:00:00, 2008-07-15 00:00:00, 2008-08-15 00:00:00,\n", " 2008-09-15 00:00:00, 2008-10-15 00:00:00, 2008-11-15 00:00:00,\n", " 2008-12-15 00:00:00, 2009-01-15 00:00:00, 2009-02-15 00:00:00,\n", " 2009-03-15 00:00:00, 2009-04-15 00:00:00, 2009-05-15 00:00:00,\n", " 2009-06-15 00:00:00, 2009-07-15 00:00:00, 2009-08-15 00:00:00,\n", " 2009-09-15 00:00:00, 2009-10-15 00:00:00, 2009-11-15 00:00:00,\n", " 2009-12-15 00:00:00, 2010-01-15 00:00:00, 2010-02-15 00:00:00,\n", " 2010-03-15 00:00:00, 2010-04-15 00:00:00, 2010-05-15 00:00:00,\n", " 2010-06-15 00:00:00, 2010-07-15 00:00:00, 2010-08-15 00:00:00,\n", " 2010-09-15 00:00:00, 2010-10-15 00:00:00, 2010-11-15 00:00:00,\n", " 2010-12-15 00:00:00, 2011-01-15 00:00:00, 2011-02-15 00:00:00,\n", " 2011-03-15 00:00:00, 2011-04-15 00:00:00, 2011-05-15 00:00:00,\n", " 2011-06-15 00:00:00, 2011-07-15 00:00:00, 2011-08-15 00:00:00,\n", " 2011-09-15 00:00:00, 2011-10-15 00:00:00, 2011-11-15 00:00:00,\n", " 2011-12-15 00:00:00, 2012-01-15 00:00:00, 2012-02-15 00:00:00,\n", " 2012-03-15 00:00:00, 2012-04-15 00:00:00, 2012-05-15 00:00:00,\n", " 2012-06-15 00:00:00, 2012-07-15 00:00:00, 2012-08-15 00:00:00,\n", " 2012-09-15 00:00:00, 2012-10-15 00:00:00, 2012-11-15 00:00:00,\n", " 2012-12-15 00:00:00, 2013-01-15 00:00:00, 2013-02-15 00:00:00,\n", " 2013-03-15 00:00:00, 2013-04-15 00:00:00, 2013-05-15 00:00:00,\n", " 2013-06-15 00:00:00, 2013-07-15 00:00:00, 2013-08-15 00:00:00,\n", " 2013-09-15 00:00:00, 2013-10-15 00:00:00, 2013-11-15 00:00:00,\n", " 2013-12-15 00:00:00], bounds=[[2000-02-28 12:00:00, 2000-03-30 12:00:00],\n", " [2000-03-30 12:00:00, 2000-04-30 00:00:00],\n", " [2000-04-30 00:00:00, 2000-05-30 12:00:00],\n", " [2000-05-30 12:00:00, 2000-06-30 00:00:00],\n", " [2000-06-30 00:00:00, 2000-07-30 12:00:00],\n", " [2000-07-30 12:00:00, 2000-08-30 12:00:00],\n", " [2000-08-30 12:00:00, 2000-09-30 00:00:00],\n", " [2000-09-30 00:00:00, 2000-10-30 12:00:00],\n", " [2000-10-30 12:00:00, 2000-11-30 00:00:00],\n", " [2000-11-30 00:00:00, 2000-12-30 12:00:00],\n", " [2000-12-30 12:00:00, 2001-01-30 12:00:00],\n", " [2001-01-30 12:00:00, 2001-03-01 00:00:00],\n", " [2001-03-01 00:00:00, 2001-03-30 12:00:00],\n", " [2001-03-30 12:00:00, 2001-04-30 00:00:00],\n", " [2001-04-30 00:00:00, 2001-05-30 12:00:00],\n", " [2001-05-30 12:00:00, 2001-06-30 00:00:00],\n", " [2001-06-30 00:00:00, 2001-07-30 12:00:00],\n", " [2001-07-30 12:00:00, 2001-08-30 12:00:00],\n", " [2001-08-30 12:00:00, 2001-09-30 00:00:00],\n", " [2001-09-30 00:00:00, 2001-10-30 12:00:00],\n", " [2001-10-30 12:00:00, 2001-11-30 00:00:00],\n", " [2001-11-30 00:00:00, 2001-12-30 12:00:00],\n", " [2001-12-30 12:00:00, 2002-01-30 12:00:00],\n", " [2002-01-30 12:00:00, 2002-03-01 00:00:00],\n", " [2002-03-01 00:00:00, 2002-03-30 12:00:00],\n", " [2002-03-30 12:00:00, 2002-04-30 00:00:00],\n", " [2002-04-30 00:00:00, 2002-05-30 12:00:00],\n", " [2002-05-30 12:00:00, 2002-06-30 00:00:00],\n", " [2002-06-30 00:00:00, 2002-07-30 12:00:00],\n", " [2002-07-30 12:00:00, 2002-08-30 12:00:00],\n", " [2002-08-30 12:00:00, 2002-09-30 00:00:00],\n", " [2002-09-30 00:00:00, 2002-10-30 12:00:00],\n", " [2002-10-30 12:00:00, 2002-11-30 00:00:00],\n", " [2002-11-30 00:00:00, 2002-12-30 12:00:00],\n", " [2002-12-30 12:00:00, 2003-01-30 12:00:00],\n", " [2003-01-30 12:00:00, 2003-03-01 00:00:00],\n", " [2003-03-01 00:00:00, 2003-03-30 12:00:00],\n", " [2003-03-30 12:00:00, 2003-04-30 00:00:00],\n", " [2003-04-30 00:00:00, 2003-05-30 12:00:00],\n", " [2003-05-30 12:00:00, 2003-06-30 00:00:00],\n", " [2003-06-30 00:00:00, 2003-07-30 12:00:00],\n", " [2003-07-30 12:00:00, 2003-08-30 12:00:00],\n", " [2003-08-30 12:00:00, 2003-09-30 00:00:00],\n", " [2003-09-30 00:00:00, 2003-10-30 12:00:00],\n", " [2003-10-30 12:00:00, 2003-11-30 00:00:00],\n", " [2003-11-30 00:00:00, 2003-12-30 12:00:00],\n", " [2003-12-30 12:00:00, 2004-01-30 12:00:00],\n", " [2004-01-30 12:00:00, 2004-02-29 12:00:00],\n", " [2004-02-29 12:00:00, 2004-03-30 12:00:00],\n", " [2004-03-30 12:00:00, 2004-04-30 00:00:00],\n", " [2004-04-30 00:00:00, 2004-05-30 12:00:00],\n", " [2004-05-30 12:00:00, 2004-06-30 00:00:00],\n", " [2004-06-30 00:00:00, 2004-07-30 12:00:00],\n", " [2004-07-30 12:00:00, 2004-08-30 12:00:00],\n", " [2004-08-30 12:00:00, 2004-09-30 00:00:00],\n", " [2004-09-30 00:00:00, 2004-10-30 12:00:00],\n", " [2004-10-30 12:00:00, 2004-11-30 00:00:00],\n", " [2004-11-30 00:00:00, 2004-12-30 12:00:00],\n", " [2004-12-30 12:00:00, 2005-01-30 12:00:00],\n", " [2005-01-30 12:00:00, 2005-03-01 00:00:00],\n", " [2005-03-01 00:00:00, 2005-03-30 12:00:00],\n", " [2005-03-30 12:00:00, 2005-04-30 00:00:00],\n", " [2005-04-30 00:00:00, 2005-05-30 12:00:00],\n", " [2005-05-30 12:00:00, 2005-06-30 00:00:00],\n", " [2005-06-30 00:00:00, 2005-07-30 12:00:00],\n", " [2005-07-30 12:00:00, 2005-08-30 12:00:00],\n", " [2005-08-30 12:00:00, 2005-09-30 00:00:00],\n", " [2005-09-30 00:00:00, 2005-10-30 12:00:00],\n", " [2005-10-30 12:00:00, 2005-11-30 00:00:00],\n", " [2005-11-30 00:00:00, 2005-12-30 12:00:00],\n", " [2005-12-30 12:00:00, 2006-01-30 12:00:00],\n", " [2006-01-30 12:00:00, 2006-03-01 00:00:00],\n", " [2006-03-01 00:00:00, 2006-03-30 12:00:00],\n", " [2006-03-30 12:00:00, 2006-04-30 00:00:00],\n", " [2006-04-30 00:00:00, 2006-05-30 12:00:00],\n", " [2006-05-30 12:00:00, 2006-06-30 00:00:00],\n", " [2006-06-30 00:00:00, 2006-07-30 12:00:00],\n", " [2006-07-30 12:00:00, 2006-08-30 12:00:00],\n", " [2006-08-30 12:00:00, 2006-09-30 00:00:00],\n", " [2006-09-30 00:00:00, 2006-10-30 12:00:00],\n", " [2006-10-30 12:00:00, 2006-11-30 00:00:00],\n", " [2006-11-30 00:00:00, 2006-12-30 12:00:00],\n", " [2006-12-30 12:00:00, 2007-01-30 12:00:00],\n", " [2007-01-30 12:00:00, 2007-03-01 00:00:00],\n", " [2007-03-01 00:00:00, 2007-03-30 12:00:00],\n", " [2007-03-30 12:00:00, 2007-04-30 00:00:00],\n", " [2007-04-30 00:00:00, 2007-05-30 12:00:00],\n", " [2007-05-30 12:00:00, 2007-06-30 00:00:00],\n", " [2007-06-30 00:00:00, 2007-07-30 12:00:00],\n", " [2007-07-30 12:00:00, 2007-08-30 12:00:00],\n", " [2007-08-30 12:00:00, 2007-09-30 00:00:00],\n", " [2007-09-30 00:00:00, 2007-10-30 12:00:00],\n", " [2007-10-30 12:00:00, 2007-11-30 00:00:00],\n", " [2007-11-30 00:00:00, 2007-12-30 12:00:00],\n", " [2007-12-30 12:00:00, 2008-01-30 12:00:00],\n", " [2008-01-30 12:00:00, 2008-02-29 12:00:00],\n", " [2008-02-29 12:00:00, 2008-03-30 12:00:00],\n", " [2008-03-30 12:00:00, 2008-04-30 00:00:00],\n", " [2008-04-30 00:00:00, 2008-05-30 12:00:00],\n", " [2008-05-30 12:00:00, 2008-06-30 00:00:00],\n", " [2008-06-30 00:00:00, 2008-07-30 12:00:00],\n", " [2008-07-30 12:00:00, 2008-08-30 12:00:00],\n", " [2008-08-30 12:00:00, 2008-09-30 00:00:00],\n", " [2008-09-30 00:00:00, 2008-10-30 12:00:00],\n", " [2008-10-30 12:00:00, 2008-11-30 00:00:00],\n", " [2008-11-30 00:00:00, 2008-12-30 12:00:00],\n", " [2008-12-30 12:00:00, 2009-01-30 12:00:00],\n", " [2009-01-30 12:00:00, 2009-03-01 00:00:00],\n", " [2009-03-01 00:00:00, 2009-03-30 12:00:00],\n", " [2009-03-30 12:00:00, 2009-04-30 00:00:00],\n", " [2009-04-30 00:00:00, 2009-05-30 12:00:00],\n", " [2009-05-30 12:00:00, 2009-06-30 00:00:00],\n", " [2009-06-30 00:00:00, 2009-07-30 12:00:00],\n", " [2009-07-30 12:00:00, 2009-08-30 12:00:00],\n", " [2009-08-30 12:00:00, 2009-09-30 00:00:00],\n", " [2009-09-30 00:00:00, 2009-10-30 12:00:00],\n", " [2009-10-30 12:00:00, 2009-11-30 00:00:00],\n", " [2009-11-30 00:00:00, 2009-12-30 12:00:00],\n", " [2009-12-30 12:00:00, 2010-01-30 12:00:00],\n", " [2010-01-30 12:00:00, 2010-03-01 00:00:00],\n", " [2010-03-01 00:00:00, 2010-03-30 12:00:00],\n", " [2010-03-30 12:00:00, 2010-04-30 00:00:00],\n", " [2010-04-30 00:00:00, 2010-05-30 12:00:00],\n", " [2010-05-30 12:00:00, 2010-06-30 00:00:00],\n", " [2010-06-30 00:00:00, 2010-07-30 12:00:00],\n", " [2010-07-30 12:00:00, 2010-08-30 12:00:00],\n", " [2010-08-30 12:00:00, 2010-09-30 00:00:00],\n", " [2010-09-30 00:00:00, 2010-10-30 12:00:00],\n", " [2010-10-30 12:00:00, 2010-11-30 00:00:00],\n", " [2010-11-30 00:00:00, 2010-12-30 12:00:00],\n", " [2010-12-30 12:00:00, 2011-01-30 12:00:00],\n", " [2011-01-30 12:00:00, 2011-03-01 00:00:00],\n", " [2011-03-01 00:00:00, 2011-03-30 12:00:00],\n", " [2011-03-30 12:00:00, 2011-04-30 00:00:00],\n", " [2011-04-30 00:00:00, 2011-05-30 12:00:00],\n", " [2011-05-30 12:00:00, 2011-06-30 00:00:00],\n", " [2011-06-30 00:00:00, 2011-07-30 12:00:00],\n", " [2011-07-30 12:00:00, 2011-08-30 12:00:00],\n", " [2011-08-30 12:00:00, 2011-09-30 00:00:00],\n", " [2011-09-30 00:00:00, 2011-10-30 12:00:00],\n", " [2011-10-30 12:00:00, 2011-11-30 00:00:00],\n", " [2011-11-30 00:00:00, 2011-12-30 12:00:00],\n", " [2011-12-30 12:00:00, 2012-01-30 12:00:00],\n", " [2012-01-30 12:00:00, 2012-02-29 12:00:00],\n", " [2012-02-29 12:00:00, 2012-03-30 12:00:00],\n", " [2012-03-30 12:00:00, 2012-04-30 00:00:00],\n", " [2012-04-30 00:00:00, 2012-05-30 12:00:00],\n", " [2012-05-30 12:00:00, 2012-06-30 00:00:00],\n", " [2012-06-30 00:00:00, 2012-07-30 12:00:00],\n", " [2012-07-30 12:00:00, 2012-08-30 12:00:00],\n", " [2012-08-30 12:00:00, 2012-09-30 00:00:00],\n", " [2012-09-30 00:00:00, 2012-10-30 12:00:00],\n", " [2012-10-30 12:00:00, 2012-11-30 00:00:00],\n", " [2012-11-30 00:00:00, 2012-12-30 12:00:00],\n", " [2012-12-30 12:00:00, 2013-01-30 12:00:00],\n", " [2013-01-30 12:00:00, 2013-03-01 00:00:00],\n", " [2013-03-01 00:00:00, 2013-03-30 12:00:00],\n", " [2013-03-30 12:00:00, 2013-04-30 00:00:00],\n", " [2013-04-30 00:00:00, 2013-05-30 12:00:00],\n", " [2013-05-30 12:00:00, 2013-06-30 00:00:00],\n", " [2013-06-30 00:00:00, 2013-07-30 12:00:00],\n", " [2013-07-30 12:00:00, 2013-08-30 12:00:00],\n", " [2013-08-30 12:00:00, 2013-09-30 00:00:00],\n", " [2013-09-30 00:00:00, 2013-10-30 12:00:00],\n", " [2013-10-30 12:00:00, 2013-11-30 00:00:00],\n", " [2013-11-30 00:00:00, 2013-12-30 00:00:00]], standard_name='time', calendar='gregorian', long_name='time', var_name='time', attributes={'delta_t': '0000-00-01 00:00:00'})\n" ] } ], "source": [ "print(cube.coord('time'))" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "DimCoord(array([ 14, 45, 75, 106, 136, 167, 198, 228, 259, 289, 320,\n", " 351, 379, 410, 440, 471, 501, 532, 563, 593, 624, 654,\n", " 685, 716, 744, 775, 805, 836, 866, 897, 928, 958, 989,\n", " 1019, 1050, 1081, 1109, 1140, 1170, 1201, 1231, 1262, 1293, 1323,\n", " 1354, 1384, 1415, 1446, 1475, 1506, 1536, 1567, 1597, 1628, 1659,\n", " 1689, 1720, 1750, 1781, 1812, 1840, 1871, 1901, 1932, 1962, 1993,\n", " 2024, 2054, 2085, 2115, 2146, 2177, 2205, 2236, 2266, 2297, 2327,\n", " 2358, 2389, 2419, 2450, 2480, 2511, 2542, 2570, 2601, 2631, 2662,\n", " 2692, 2723, 2754, 2784, 2815, 2845, 2876, 2907, 2936, 2967, 2997,\n", " 3028, 3058, 3089, 3120, 3150, 3181, 3211, 3242, 3273, 3301, 3332,\n", " 3362, 3393, 3423, 3454, 3485, 3515, 3546, 3576, 3607, 3638, 3666,\n", " 3697, 3727, 3758, 3788, 3819, 3850, 3880, 3911, 3941, 3972, 4003,\n", " 4031, 4062, 4092, 4123, 4153, 4184, 4215, 4245, 4276, 4306, 4337,\n", " 4368, 4397, 4428, 4458, 4489, 4519, 4550, 4581, 4611, 4642, 4672,\n", " 4703, 4734, 4762, 4793, 4823, 4854, 4884, 4915, 4946, 4976, 5007,\n", " 5037], dtype=int32), bounds=array([[ -1.50000000e+00, 2.95000000e+01],\n", " [ 2.95000000e+01, 6.00000000e+01],\n", " [ 6.00000000e+01, 9.05000000e+01],\n", " [ 9.05000000e+01, 1.21000000e+02],\n", " [ 1.21000000e+02, 1.51500000e+02],\n", " [ 1.51500000e+02, 1.82500000e+02],\n", " [ 1.82500000e+02, 2.13000000e+02],\n", " [ 2.13000000e+02, 2.43500000e+02],\n", " [ 2.43500000e+02, 2.74000000e+02],\n", " [ 2.74000000e+02, 3.04500000e+02],\n", " [ 3.04500000e+02, 3.35500000e+02],\n", " [ 3.35500000e+02, 3.65000000e+02],\n", " [ 3.65000000e+02, 3.94500000e+02],\n", " [ 3.94500000e+02, 4.25000000e+02],\n", " [ 4.25000000e+02, 4.55500000e+02],\n", " [ 4.55500000e+02, 4.86000000e+02],\n", " [ 4.86000000e+02, 5.16500000e+02],\n", " [ 5.16500000e+02, 5.47500000e+02],\n", " [ 5.47500000e+02, 5.78000000e+02],\n", " [ 5.78000000e+02, 6.08500000e+02],\n", " [ 6.08500000e+02, 6.39000000e+02],\n", " [ 6.39000000e+02, 6.69500000e+02],\n", " [ 6.69500000e+02, 7.00500000e+02],\n", " [ 7.00500000e+02, 7.30000000e+02],\n", " [ 7.30000000e+02, 7.59500000e+02],\n", " [ 7.59500000e+02, 7.90000000e+02],\n", " [ 7.90000000e+02, 8.20500000e+02],\n", " [ 8.20500000e+02, 8.51000000e+02],\n", " [ 8.51000000e+02, 8.81500000e+02],\n", " [ 8.81500000e+02, 9.12500000e+02],\n", " [ 9.12500000e+02, 9.43000000e+02],\n", " [ 9.43000000e+02, 9.73500000e+02],\n", " [ 9.73500000e+02, 1.00400000e+03],\n", " [ 1.00400000e+03, 1.03450000e+03],\n", " [ 1.03450000e+03, 1.06550000e+03],\n", " [ 1.06550000e+03, 1.09500000e+03],\n", " [ 1.09500000e+03, 1.12450000e+03],\n", " [ 1.12450000e+03, 1.15500000e+03],\n", " [ 1.15500000e+03, 1.18550000e+03],\n", " [ 1.18550000e+03, 1.21600000e+03],\n", " [ 1.21600000e+03, 1.24650000e+03],\n", " [ 1.24650000e+03, 1.27750000e+03],\n", " [ 1.27750000e+03, 1.30800000e+03],\n", " [ 1.30800000e+03, 1.33850000e+03],\n", " [ 1.33850000e+03, 1.36900000e+03],\n", " [ 1.36900000e+03, 1.39950000e+03],\n", " [ 1.39950000e+03, 1.43050000e+03],\n", " [ 1.43050000e+03, 1.46050000e+03],\n", " [ 1.46050000e+03, 1.49050000e+03],\n", " [ 1.49050000e+03, 1.52100000e+03],\n", " [ 1.52100000e+03, 1.55150000e+03],\n", " [ 1.55150000e+03, 1.58200000e+03],\n", " [ 1.58200000e+03, 1.61250000e+03],\n", " [ 1.61250000e+03, 1.64350000e+03],\n", " [ 1.64350000e+03, 1.67400000e+03],\n", " [ 1.67400000e+03, 1.70450000e+03],\n", " [ 1.70450000e+03, 1.73500000e+03],\n", " [ 1.73500000e+03, 1.76550000e+03],\n", " [ 1.76550000e+03, 1.79650000e+03],\n", " [ 1.79650000e+03, 1.82600000e+03],\n", " [ 1.82600000e+03, 1.85550000e+03],\n", " [ 1.85550000e+03, 1.88600000e+03],\n", " [ 1.88600000e+03, 1.91650000e+03],\n", " [ 1.91650000e+03, 1.94700000e+03],\n", " [ 1.94700000e+03, 1.97750000e+03],\n", " [ 1.97750000e+03, 2.00850000e+03],\n", " [ 2.00850000e+03, 2.03900000e+03],\n", " [ 2.03900000e+03, 2.06950000e+03],\n", " [ 2.06950000e+03, 2.10000000e+03],\n", " [ 2.10000000e+03, 2.13050000e+03],\n", " [ 2.13050000e+03, 2.16150000e+03],\n", " [ 2.16150000e+03, 2.19100000e+03],\n", " [ 2.19100000e+03, 2.22050000e+03],\n", " [ 2.22050000e+03, 2.25100000e+03],\n", " [ 2.25100000e+03, 2.28150000e+03],\n", " [ 2.28150000e+03, 2.31200000e+03],\n", " [ 2.31200000e+03, 2.34250000e+03],\n", " [ 2.34250000e+03, 2.37350000e+03],\n", " [ 2.37350000e+03, 2.40400000e+03],\n", " [ 2.40400000e+03, 2.43450000e+03],\n", " [ 2.43450000e+03, 2.46500000e+03],\n", " [ 2.46500000e+03, 2.49550000e+03],\n", " [ 2.49550000e+03, 2.52650000e+03],\n", " [ 2.52650000e+03, 2.55600000e+03],\n", " [ 2.55600000e+03, 2.58550000e+03],\n", " [ 2.58550000e+03, 2.61600000e+03],\n", " [ 2.61600000e+03, 2.64650000e+03],\n", " [ 2.64650000e+03, 2.67700000e+03],\n", " [ 2.67700000e+03, 2.70750000e+03],\n", " [ 2.70750000e+03, 2.73850000e+03],\n", " [ 2.73850000e+03, 2.76900000e+03],\n", " [ 2.76900000e+03, 2.79950000e+03],\n", " [ 2.79950000e+03, 2.83000000e+03],\n", " [ 2.83000000e+03, 2.86050000e+03],\n", " [ 2.86050000e+03, 2.89150000e+03],\n", " [ 2.89150000e+03, 2.92150000e+03],\n", " [ 2.92150000e+03, 2.95150000e+03],\n", " [ 2.95150000e+03, 2.98200000e+03],\n", " [ 2.98200000e+03, 3.01250000e+03],\n", " [ 3.01250000e+03, 3.04300000e+03],\n", " [ 3.04300000e+03, 3.07350000e+03],\n", " [ 3.07350000e+03, 3.10450000e+03],\n", " [ 3.10450000e+03, 3.13500000e+03],\n", " [ 3.13500000e+03, 3.16550000e+03],\n", " [ 3.16550000e+03, 3.19600000e+03],\n", " [ 3.19600000e+03, 3.22650000e+03],\n", " [ 3.22650000e+03, 3.25750000e+03],\n", " [ 3.25750000e+03, 3.28700000e+03],\n", " [ 3.28700000e+03, 3.31650000e+03],\n", " [ 3.31650000e+03, 3.34700000e+03],\n", " [ 3.34700000e+03, 3.37750000e+03],\n", " [ 3.37750000e+03, 3.40800000e+03],\n", " [ 3.40800000e+03, 3.43850000e+03],\n", " [ 3.43850000e+03, 3.46950000e+03],\n", " [ 3.46950000e+03, 3.50000000e+03],\n", " [ 3.50000000e+03, 3.53050000e+03],\n", " [ 3.53050000e+03, 3.56100000e+03],\n", " [ 3.56100000e+03, 3.59150000e+03],\n", " [ 3.59150000e+03, 3.62250000e+03],\n", " [ 3.62250000e+03, 3.65200000e+03],\n", " [ 3.65200000e+03, 3.68150000e+03],\n", " [ 3.68150000e+03, 3.71200000e+03],\n", " [ 3.71200000e+03, 3.74250000e+03],\n", " [ 3.74250000e+03, 3.77300000e+03],\n", " [ 3.77300000e+03, 3.80350000e+03],\n", " [ 3.80350000e+03, 3.83450000e+03],\n", " [ 3.83450000e+03, 3.86500000e+03],\n", " [ 3.86500000e+03, 3.89550000e+03],\n", " [ 3.89550000e+03, 3.92600000e+03],\n", " [ 3.92600000e+03, 3.95650000e+03],\n", " [ 3.95650000e+03, 3.98750000e+03],\n", " [ 3.98750000e+03, 4.01700000e+03],\n", " [ 4.01700000e+03, 4.04650000e+03],\n", " [ 4.04650000e+03, 4.07700000e+03],\n", " [ 4.07700000e+03, 4.10750000e+03],\n", " [ 4.10750000e+03, 4.13800000e+03],\n", " [ 4.13800000e+03, 4.16850000e+03],\n", " [ 4.16850000e+03, 4.19950000e+03],\n", " [ 4.19950000e+03, 4.23000000e+03],\n", " [ 4.23000000e+03, 4.26050000e+03],\n", " [ 4.26050000e+03, 4.29100000e+03],\n", " [ 4.29100000e+03, 4.32150000e+03],\n", " [ 4.32150000e+03, 4.35250000e+03],\n", " [ 4.35250000e+03, 4.38250000e+03],\n", " [ 4.38250000e+03, 4.41250000e+03],\n", " [ 4.41250000e+03, 4.44300000e+03],\n", " [ 4.44300000e+03, 4.47350000e+03],\n", " [ 4.47350000e+03, 4.50400000e+03],\n", " [ 4.50400000e+03, 4.53450000e+03],\n", " [ 4.53450000e+03, 4.56550000e+03],\n", " [ 4.56550000e+03, 4.59600000e+03],\n", " [ 4.59600000e+03, 4.62650000e+03],\n", " [ 4.62650000e+03, 4.65700000e+03],\n", " [ 4.65700000e+03, 4.68750000e+03],\n", " [ 4.68750000e+03, 4.71850000e+03],\n", " [ 4.71850000e+03, 4.74800000e+03],\n", " [ 4.74800000e+03, 4.77750000e+03],\n", " [ 4.77750000e+03, 4.80800000e+03],\n", " [ 4.80800000e+03, 4.83850000e+03],\n", " [ 4.83850000e+03, 4.86900000e+03],\n", " [ 4.86900000e+03, 4.89950000e+03],\n", " [ 4.89950000e+03, 4.93050000e+03],\n", " [ 4.93050000e+03, 4.96100000e+03],\n", " [ 4.96100000e+03, 4.99150000e+03],\n", " [ 4.99150000e+03, 5.02200000e+03],\n", " [ 5.02200000e+03, 5.05200000e+03]]), standard_name='time', units=Unit('days since 2000-03-01 00:00:00', calendar='gregorian'), long_name='time', var_name='time', attributes={'delta_t': '0000-00-01 00:00:00'})" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cube.coord('time')" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "def broadcast_array(array, axis_index, shape):\n", " \"\"\"Broadcast an array to a target shape.\n", " \n", " Args:\n", " array (numpy.ndarray)\n", " axis_index (int or tuple): Postion in the target shape that the \n", " axis/axes of the array corresponds to\n", " e.g. if array corresponds to (depth, lat, lon) in (time, depth, lat, lon)\n", " then axis_index = [1, 3]\n", " e.g. if array corresponds to (lat) in (time, depth, lat, lon)\n", " then axis_index = 2\n", " shape (tuple): shape to broadcast to\n", " \n", " For a one dimensional array, make start_axis_index = end_axis_index\n", " \n", " \"\"\"\n", "\n", " if type(axis_index) in [float, int]:\n", " start_axis_index = end_axis_index = axis_index\n", " else:\n", " assert len(axis_index) == 2\n", " start_axis_index, end_axis_index = axis_index\n", " \n", " dim = start_axis_index - 1\n", " while dim >= 0:\n", " array = array[numpy.newaxis, ...]\n", " array = numpy.repeat(array, shape[dim], axis=0)\n", " dim = dim - 1\n", " \n", " dim = end_axis_index + 1\n", " while dim < len(shape): \n", " array = array[..., numpy.newaxis]\n", " array = numpy.repeat(array, shape[dim], axis=-1)\n", " dim = dim + 1\n", "\n", " return array\n", "\n", "\n", "def convert_to_joules(cube):\n", " \"\"\"Convert units from Watts to Joules\"\"\"\n", " \n", " assert 'W' in str(cube.units)\n", " \n", " time_span_days = cube.coord('time').bounds[:, 1] - cube.coord('time').bounds[:, 0]\n", " time_span_seconds = time_span_days * 60 * 60 * 24\n", " \n", " cube.data = cube.data * broadcast_array(time_span_seconds, 0, cube.shape)\n", " cube.units = str(cube.units).replace('W', 'J')\n", " \n", " return cube\n", "\n", "\n", "def convert_to_annual(cube, full_months=False):\n", " \"\"\"Convert data to annual timescale.\n", " Args:\n", " cube (iris.cube.Cube)\n", " full_months(bool): only include years with data for all 12 months\n", " \"\"\"\n", "\n", " iris.coord_categorisation.add_year(cube, 'time')\n", " iris.coord_categorisation.add_month(cube, 'time')\n", "\n", " cube = cube.aggregated_by(['year'], iris.analysis.SUM)\n", " if full_months:\n", " cube = cube.extract(iris.Constraint(month='Jan|Feb|Mar|Apr|May|Jun|Jul|Aug|Sep|Oct|Nov|Dec'))\n", " \n", " cube.remove_coord('year')\n", " cube.remove_coord('month')\n", "\n", " return cube\n", "\n", "\n", "def remove_m2(cube):\n", " \"\"\"Multipy a cube by its area.\"\"\"\n", "\n", " if not cube.coord('latitude').has_bounds():\n", " cube.coord('latitude').guess_bounds()\n", " if not cube.coord('longitude').has_bounds():\n", " cube.coord('longitude').guess_bounds()\n", " area_weights = iris.analysis.cartography.area_weights(cube)\n", "\n", " cube.data = cube.data * area_weights\n", " cube.units = str(cube.units).replace('m-2', '')\n", " \n", " return cube\n", "\n", "\n", "def extract_region(cube, lat_bounds):\n", " \"\"\"Extract region of interest from a regular lat/lon grid.\n", " \n", " Returns subset of the original cube that contains only the latitude range of interest. \n", " \"\"\"\n", "\n", " southern_lat, northern_lat = lat_bounds\n", " lat_constraint = iris.Constraint(latitude=lambda cell: southern_lat <= cell < northern_lat)\n", " cube = cube.extract(lat_constraint)\n", "\n", " return cube\n", "\n", "\n", "def spatial_sum(cube, lat_bounds=None):\n", " \"\"\"Calculate the spatial sum\"\"\"\n", " \n", " cube = cube.copy()\n", " \n", " if lat_bounds:\n", " cube = extract_region(cube, lat_bounds)\n", " \n", " cube = cube.collapsed(['latitude', 'longitude'], iris.analysis.SUM)\n", " cube.remove_coord('latitude')\n", " cube.remove_coord('longitude')\n", " \n", " return cube\n", "\n", "\n", "def calc_anomaly(cube, base_index=0, base_method='mean'):\n", " \"\"\"Calculate the anomaly.\"\"\"\n", " \n", " if (base_index > 0) and (base_method == 'mean'):\n", " base_value = cube.data[0 : base_index + 1].mean()\n", " else:\n", " base_value = cube.data[base_index]\n", "\n", " anomaly = cube.copy()\n", " anomaly.data = anomaly.data - base_value\n", " \n", " return anomaly\n", "\n", "\n", "def calc_cumsum(cube, base_index=0, base_method='mean'):\n", " \"\"\"Calculate the cumulative sum.\"\"\"\n", "\n", " if (base_index > 0) and (base_method == 'mean'):\n", " base_value = cube.data[0 : base_index + 1].mean()\n", " else:\n", " base_value = cube.data[base_index]\n", "\n", " anomaly = cube.data - base_value\n", " \n", " cumsum = cube.copy() \n", " cumsum.data = numpy.cumsum(anomaly, axis=0)\n", " \n", " return cumsum\n" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "<iris 'Cube' of Top of The Atmosphere Net Flux, Monthly Means, All-Sky conditions / (W) (time: 166; latitude: 180; longitude: 360)>" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cube = remove_m2(cube)\n", "cube" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "<iris 'Cube' of Top of The Atmosphere Net Flux, Monthly Means, All-Sky conditions / (J) (time: 166; latitude: 180; longitude: 360)>" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cube = convert_to_joules(cube)\n", "cube" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "<iris 'Cube' of Top of The Atmosphere Net Flux, Monthly Means, All-Sky conditions / (J) (time: 13; latitude: 180; longitude: 360)>" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cube = convert_to_annual(cube, full_months=True)\n", "cube" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "DimCoord([2001-07-01 00:00:00, 2002-07-01 00:00:00, 2003-07-01 00:00:00,\n", " 2004-06-30 12:00:00, 2005-07-01 00:00:00, 2006-07-01 00:00:00,\n", " 2007-07-01 00:00:00, 2008-06-30 12:00:00, 2009-07-01 00:00:00,\n", " 2010-07-01 00:00:00, 2011-07-01 00:00:00, 2012-06-30 12:00:00,\n", " 2013-06-30 18:00:00], bounds=[[2000-12-30 12:00:00, 2001-12-30 12:00:00],\n", " [2001-12-30 12:00:00, 2002-12-30 12:00:00],\n", " [2002-12-30 12:00:00, 2003-12-30 12:00:00],\n", " [2003-12-30 12:00:00, 2004-12-30 12:00:00],\n", " [2004-12-30 12:00:00, 2005-12-30 12:00:00],\n", " [2005-12-30 12:00:00, 2006-12-30 12:00:00],\n", " [2006-12-30 12:00:00, 2007-12-30 12:00:00],\n", " [2007-12-30 12:00:00, 2008-12-30 12:00:00],\n", " [2008-12-30 12:00:00, 2009-12-30 12:00:00],\n", " [2009-12-30 12:00:00, 2010-12-30 12:00:00],\n", " [2010-12-30 12:00:00, 2011-12-30 12:00:00],\n", " [2011-12-30 12:00:00, 2012-12-30 12:00:00],\n", " [2012-12-30 12:00:00, 2013-12-30 00:00:00]], standard_name='time', calendar='gregorian', long_name='time', var_name='time', attributes={'delta_t': '0000-00-01 00:00:00'})\n" ] } ], "source": [ "print(cube.coord('time'))" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "global_sum = spatial_sum(cube)\n", "nh_sum = spatial_sum(cube, lat_bounds=[0, 90])\n", "sh_sum = spatial_sum(cube, lat_bounds=[-90, 0])" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXkAAAEGCAYAAACAd+UpAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f858c4e5128>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "iplt.plot(global_sum, color='black', label='globe')\n", "iplt.plot(nh_sum, color='blue', label='NH')\n", "iplt.plot(sh_sum, 'red', label='SH')\n", "plt.legend()\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "A cumulative sum is easy to calculate for the globe, because the equilibrium/stationary climate value is zero:" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "global_cumsum_0 = calc_cumsum(global_sum)\n", "global_cumsum_1 = calc_cumsum(global_sum, base_index=1, base_method=None)\n", "global_cumsum_2 = calc_cumsum(global_sum, base_index=2, base_method=None)\n", "global_cumsum_mean = calc_cumsum(global_sum, base_index=2, base_method='mean')\n", "\n", "nh_cumsum_0 = calc_cumsum(nh_sum)\n", "nh_cumsum_1 = calc_cumsum(nh_sum, base_index=1, base_method=None)\n", "nh_cumsum_2 = calc_cumsum(nh_sum, base_index=2, base_method=None)\n", "nh_cumsum_mean = calc_cumsum(nh_sum, base_index=2, base_method='mean')\n", "\n", "sh_cumsum_0 = calc_cumsum(sh_sum)\n", "sh_cumsum_1 = calc_cumsum(sh_sum, base_index=1, base_method=None)\n", "sh_cumsum_2 = calc_cumsum(sh_sum, base_index=2, base_method=None)\n", "sh_cumsum_mean = calc_cumsum(sh_sum, base_index=2, base_method='mean')" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXkAAAEGCAYAAACAd+UpAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f857d8726d8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "iplt.plot(global_cumsum_0, color='black', linestyle='--')\n", "iplt.plot(global_cumsum_1, color='black', linestyle='--')\n", "iplt.plot(global_cumsum_2, color='black', linestyle='--')\n", "iplt.plot(global_cumsum_mean, color='black', label='global')\n", "\n", "iplt.plot(nh_cumsum_0, color='blue', linestyle='--')\n", "iplt.plot(nh_cumsum_1, color='blue', linestyle='--')\n", "iplt.plot(nh_cumsum_2, color='blue', linestyle='--')\n", "iplt.plot(nh_cumsum_mean, color='blue', label='NH')\n", "\n", "iplt.plot(sh_cumsum_0, color='red', linestyle='--')\n", "iplt.plot(sh_cumsum_1, color='red', linestyle='--')\n", "iplt.plot(sh_cumsum_2, color='red', linestyle='--')\n", "iplt.plot(sh_cumsum_mean, color='red', label='SH')\n", "\n", "plt.legend(loc=2)\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "The same is not true for each hemisphere - in a stationary climate one hemisphere might lose heat and the other gain.\n", "\n", "For a long and/or not noisy hemispheric timeseries, you could just use the first data point as the stationary climate value (i.e. and calculate the anomaly timeseries relative to that point before calculating the cumulative sum), but clearly the 13-year CERES record is too noisy for that.\n", "\n", "Instead, it's probably best to apply a linear line of best fit to compare the two hemispheres..." ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "def linear_trend(cube):\n", " \"\"\"Return the linear trend line\"\"\"\n", " \n", " trend = cube.copy()\n", " x = cube.coord('time').points\n", " y = cube.data\n", " z = numpy.polyfit(x, y, 1)\n", " p = numpy.poly1d(z)\n", " \n", " # the line equation:\n", " print('y=%.6fx+(%.6f)' %(z[0],z[1]))\n", " \n", " trend.data = p(x)\n", " \n", " return trend" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "y=1637062588171800832.000000x+(8956707502956559204352.000000)\n", "y=681589430777890560.000000x+(8840252450748076392448.000000)\n", "y=955135500867678464.000000x+(271775120126585077760.000000)\n" ] } ], "source": [ "global_trend = linear_trend(global_sum)\n", "nh_trend = linear_trend(nh_sum)\n", "sh_trend = linear_trend(sh_sum)" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXkAAAEGCAYAAACAd+UpAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nGKNKXlEUJYz5fyZmgP/VS6A/AAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f1dc0ee3828>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "iplt.plot(global_sum, color='black', label='globe')\n", "iplt.plot(global_trend, color='black', linestyle='--')\n", "\n", "iplt.plot(nh_sum, color='blue', label='NH')\n", "iplt.plot(nh_trend, color='blue', linestyle='--')\n", "\n", "iplt.plot(sh_sum, 'red', label='SH')\n", "iplt.plot(sh_trend, 'red', linestyle='--')\n", "\n", "plt.legend(loc=2)\n", "plt.savefig('/g/data/r87/dbi599/figures/ceres_ebaf-toa-ed40_2001-2013.png')" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Model data" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "def get_time_constraint(time_list):\n", " \"\"\"Get the time constraint used for reading an iris cube.\"\"\"\n", " \n", " if time_list:\n", "\n", " start_date, end_date = time_list\n", "\n", " date_pattern = '([0-9]{4})-([0-9]{1,2})-([0-9]{1,2})'\n", " assert re.search(date_pattern, start_date)\n", " assert re.search(date_pattern, end_date)\n", "\n", " if (start_date == end_date):\n", " year, month, day = start_date.split('-') \n", " time_constraint = iris.Constraint(time=iris.time.PartialDateTime(year=int(year), month=int(month), day=int(day)))\n", " else: \n", " start_year, start_month, start_day = start_date.split('-') \n", " end_year, end_month, end_day = end_date.split('-')\n", " time_constraint = iris.Constraint(time=lambda t: iris.time.PartialDateTime(year=int(start_year), month=int(start_month), day=int(start_day)) <= t.point <= iris.time.PartialDateTime(year=int(end_year), month=int(end_month), day=int(end_day)))\n", " else:\n", " time_constraint = iris.Constraint()\n", "\n", " return time_constraint\n", "\n", "\n", "def get_data_triplet(model, experiment, ensnum):\n", " \"\"\"Get a data triplet of interest\"\"\"\n", "\n", " time_constraint = get_time_constraint(['1861-01-01', '2005-12-31']) \n", " dir_experiment = 'rcp85' if experiment == 'historical-rcp85' else experiment \n", "\n", " mydir = '/g/data/r87/dbi599/DRSv2/CMIP5/%s/%s/yr/atmos/r1i1p1/rndt/latest/dedrifted' %(model, dir_experiment)\n", " \n", " output = {}\n", " for region in ['sh', 'nh', 'globe']:\n", " file_start = 'rndt-%s-sum' %(region)\n", " files = glob.glob('%s/%s_*_all.nc' %(mydir, file_start))\n", " assert len(files) == 1, '%s/%s_*_all.nc' %(mydir, file_start)\n", " \n", " var_name = 'TOA Incoming Net Radiation %s sum' %(region)\n", " print(files[0], var_name)\n", " cube = iris.load_cube(files[0], var_name) # & time_constraint\n", "\n", " new_aux_coord = iris.coords.AuxCoord(ensnum, long_name='ensemble_member', units='no_unit')\n", " cube.add_aux_coord(new_aux_coord)\n", " cube.cell_methods = ()\n", " \n", " output[region] = cube\n", " \n", " return output['sh'], output['nh'], output['globe']\n", "\n", "\n", "def equalise_time_axes(cube_list):\n", " \"\"\"Make all the time axes the same.\"\"\"\n", "\n", " iris.util.unify_time_units(cube_list)\n", " reference_cube = cube_list[0]\n", " new_cube_list = iris.cube.CubeList([])\n", " for cube in cube_list:\n", " assert len(cube.coord('time').points) == len(reference_cube.coord('time').points)\n", " cube.coord('time').points = reference_cube.coord('time').points\n", " cube.coord('time').bounds = reference_cube.coord('time').bounds\n", " cube.coord('time').units = reference_cube.coord('time').units\n", " cube.coord('time').attributes = reference_cube.coord('time').attributes\n", " new_cube_list.append(cube)\n", " \n", " return new_cube_list\n", "\n", "\n", "def ensemble_aggregation(cube_list, operator):\n", " \"\"\"Calculate the ensemble mean.\"\"\"\n", "\n", " aggregators = {'mean': iris.analysis.MEAN, 'median': iris.analysis.MEDIAN}\n", "\n", " if len(cube_list) > 1:\n", " equalise_attributes(cube_list)\n", " equalise_time_axes(cube_list)\n", " ensemble_cube = cube_list.merge_cube()\n", " ensemble_agg = ensemble_cube.collapsed('ensemble_member', aggregators[operator])\n", " ensemble_spread = ensemble_cube.collapsed('ensemble_member', iris.analysis.PERCENTILE, percent=[25, 75])\n", " else:\n", " ensemble_agg = cube_list[0]\n", " ensemble_spread = None\n", "\n", " return ensemble_agg, ensemble_spread\n", "\n" ] }, { "cell_type": "code", "execution_count": 64, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "def plot_data(model, start_time_index=0, end_time_index=156):\n", " \"\"\"Plot the model data\"\"\"\n", "\n", " all_models = ['CanESM2', 'CCSM4', 'CSIRO-Mk3-6-0', 'GISS-E2-R', 'NorESM1-M']\n", " if model == 'ensemble':\n", " models = all_models\n", " else:\n", " models = [model]\n", " \n", " sh_cube_list = iris.cube.CubeList([])\n", " nh_cube_list = iris.cube.CubeList([])\n", " globe_cube_list = iris.cube.CubeList([])\n", " for ensnum, model in enumerate(models):\n", " sh_cube, nh_cube, globe_cube = get_data_triplet(model, 'historical-rcp85', ensnum)\n", " sh_cube_list.append(sh_cube)\n", " nh_cube_list.append(nh_cube)\n", " globe_cube_list.append(globe_cube)\n", " \n", " sh_ensmid, sh_ensvar = ensemble_aggregation(sh_cube_list, 'mean')\n", " nh_ensmid, nh_ensvar = ensemble_aggregation(nh_cube_list, 'mean')\n", " globe_ensmid, globe_ensvar = ensemble_aggregation(globe_cube_list, 'mean')\n", " \n", " iplt.plot(globe_ensmid[start_time_index:end_time_index], color='black', label='globe')\n", " iplt.plot(sh_ensmid[start_time_index:end_time_index], color='red', label='SH')\n", " iplt.plot(nh_ensmid[start_time_index:end_time_index], color='blue', label='NH')\n", " \n", " print(globe_ensmid[start_time_index:end_time_index].coord('time')[0])\n", " print(globe_ensmid[start_time_index:end_time_index].coord('time')[-1])\n", " \n", " sh_trend = linear_trend(sh_ensmid[start_time_index:end_time_index])\n", " nh_trend = linear_trend(nh_ensmid[start_time_index:end_time_index])\n", " globe_trend = linear_trend(globe_ensmid[start_time_index:end_time_index])\n", " \n", " iplt.plot(globe_trend, color='black', linestyle='--')\n", " iplt.plot(sh_trend, color='red', linestyle='--')\n", " iplt.plot(nh_trend, color='blue', linestyle='--')\n", " \n", " plt.title(model)\n", " plt.legend(loc=4)\n", " plt.show()" ] }, { "cell_type": "code", "execution_count": 65, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "/g/data/r87/dbi599/DRSv2/CMIP5/CanESM2/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-sh-sum_Ayr_CanESM2_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation sh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/CanESM2/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-nh-sum_Ayr_CanESM2_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation nh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/CanESM2/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-globe-sum_Ayr_CanESM2_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation globe sum\n", "DimCoord([1850-07-02 12:00:00], bounds=[[1850-01-01 00:00:00, 1851-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "DimCoord([2005-07-02 12:00:00], bounds=[[2005-01-01 00:00:00, 2006-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "y=5074585230.268093x+(-829705215228198.875000)\n", "y=-21810128.930149x+(831962564292078.625000)\n", "y=5052776807.180403x+(2257415035308.094727)\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7faac52a5c88>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plot_data('CanESM2')" ] }, { "cell_type": "code", "execution_count": 68, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "/g/data/r87/dbi599/DRSv2/CMIP5/CanESM2/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-sh-sum_Ayr_CanESM2_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation sh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/CanESM2/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-nh-sum_Ayr_CanESM2_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation nh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/CanESM2/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-globe-sum_Ayr_CanESM2_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation globe sum\n", "DimCoord([2001-07-02 12:00:00], bounds=[[2001-01-01 00:00:00, 2002-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "DimCoord([2013-07-02 12:00:00], bounds=[[2013-01-01 00:00:00, 2014-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "y=13685237977.347523x+(-1262024414482113.750000)\n", "y=-10662899417.887075x+(1592681019110950.250000)\n", "y=3022270874.771498x+(330660560180146.500000)\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7faac4ea7a20>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plot_data('CanESM2', start_time_index=151, end_time_index=164)" ] }, { "cell_type": "code", "execution_count": 71, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "/g/data/r87/dbi599/DRSv2/CMIP5/CCSM4/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-sh-sum_Ayr_CCSM4_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation sh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/CCSM4/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-nh-sum_Ayr_CCSM4_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation nh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/CCSM4/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-globe-sum_Ayr_CCSM4_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation globe sum\n", "DimCoord([1850-07-02 12:00:00], bounds=[[1850-01-01 00:00:00, 1851-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "DimCoord([2005-07-02 12:00:00], bounds=[[2005-01-01 00:00:00, 2006-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "y=5398076401.299723x+(-3240909216751325.000000)\n", "y=2304088993.447057x+(-2096708352656539.750000)\n", "y=7702160306.133201x+(-5337614009341747.000000)\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7faac512c9e8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plot_data('CCSM4')" ] }, { "cell_type": "code", "execution_count": 70, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "/g/data/r87/dbi599/DRSv2/CMIP5/CCSM4/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-sh-sum_Ayr_CCSM4_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation sh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/CCSM4/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-nh-sum_Ayr_CCSM4_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation nh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/CCSM4/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-globe-sum_Ayr_CCSM4_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation globe sum\n", "DimCoord([2001-07-02 12:00:00], bounds=[[2001-01-01 00:00:00, 2002-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "DimCoord([2013-07-02 12:00:00], bounds=[[2013-01-01 00:00:00, 2014-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "y=-5403872831.247198x+(4760104703303844.000000)\n", "y=14610006811.153255x+(-11041024433558974.000000)\n", "y=9206216817.883606x+(-6280980496594028.000000)\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7faac530c9b0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plot_data('CCSM4', start_time_index=151, end_time_index=164)" ] }, { "cell_type": "code", "execution_count": 72, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "/g/data/r87/dbi599/DRSv2/CMIP5/CSIRO-Mk3-6-0/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-sh-sum_Ayr_CSIRO-Mk3-6-0_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation sh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/CSIRO-Mk3-6-0/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-nh-sum_Ayr_CSIRO-Mk3-6-0_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation nh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/CSIRO-Mk3-6-0/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-globe-sum_Ayr_CSIRO-Mk3-6-0_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation globe sum\n", "DimCoord([1850-07-02 12:00:00], bounds=[[1850-01-01 00:00:00, 1851-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "DimCoord([2005-07-02 12:00:00], bounds=[[2005-01-01 00:00:00, 2006-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "y=2142877198.228757x+(-1784130435064818.250000)\n", "y=-4002897854.033761x+(3249823104151779.500000)\n", "y=-1860016237.439820x+(1465689463002892.250000)\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7faac5005cf8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plot_data('CSIRO-Mk3-6-0')" ] }, { "cell_type": "code", "execution_count": 73, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "/g/data/r87/dbi599/DRSv2/CMIP5/CSIRO-Mk3-6-0/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-sh-sum_Ayr_CSIRO-Mk3-6-0_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation sh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/CSIRO-Mk3-6-0/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-nh-sum_Ayr_CSIRO-Mk3-6-0_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation nh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/CSIRO-Mk3-6-0/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-globe-sum_Ayr_CSIRO-Mk3-6-0_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation globe sum\n", "DimCoord([2001-07-02 12:00:00], bounds=[[2001-01-01 00:00:00, 2002-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "DimCoord([2013-07-02 12:00:00], bounds=[[2013-01-01 00:00:00, 2014-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "y=-14511044471.798166x+(10461254531049278.000000)\n", "y=9085741102.184444x+(-6165808044251452.000000)\n", "y=-5425305642.607043x+(4295448263753918.000000)\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7faac519d390>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plot_data('CSIRO-Mk3-6-0', start_time_index=151, end_time_index=164)" ] }, { "cell_type": "code", "execution_count": 77, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "/g/data/r87/dbi599/DRSv2/CMIP5/GISS-E2-R/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-sh-sum_Ayr_GISS-E2-R_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation sh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/GISS-E2-R/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-nh-sum_Ayr_GISS-E2-R_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation nh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/GISS-E2-R/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-globe-sum_Ayr_GISS-E2-R_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation globe sum\n", "DimCoord([1850-07-02 12:00:00], bounds=[[1850-01-01 00:00:00, 1851-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "DimCoord([2005-07-02 12:00:00], bounds=[[2005-01-01 00:00:00, 2006-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "y=4917493568.901720x+(3912982990429718.000000)\n", "y=2417374733.389053x+(1744464493019227.500000)\n", "y=7334871903.195924x+(5657450252010364.000000)\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7faac531e940>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plot_data('GISS-E2-R') " ] }, { "cell_type": "code", "execution_count": 75, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "/g/data/r87/dbi599/DRSv2/CMIP5/GISS-E2-R/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-sh-sum_Ayr_GISS-E2-R_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation sh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/GISS-E2-R/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-nh-sum_Ayr_GISS-E2-R_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation nh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/GISS-E2-R/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-globe-sum_Ayr_GISS-E2-R_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation globe sum\n", "DimCoord([2001-07-02 12:00:00], bounds=[[2001-01-01 00:00:00, 2002-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "DimCoord([2013-07-02 12:00:00], bounds=[[2013-01-01 00:00:00, 2014-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "y=-3320915926.873178x+(-1921962744531349.250000)\n", "y=-84008569.082639x+(2791822722467.605469)\n", "y=-3404869691.562140x+(-1919131305317047.000000)\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7faac4edd0b8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plot_data('GISS-E2-R', start_time_index=151, end_time_index=164)" ] }, { "cell_type": "code", "execution_count": 78, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "/g/data/r87/dbi599/DRSv2/CMIP5/NorESM1-M/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-sh-sum_Ayr_NorESM1-M_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation sh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/NorESM1-M/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-nh-sum_Ayr_NorESM1-M_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation nh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/NorESM1-M/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-globe-sum_Ayr_NorESM1-M_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation globe sum\n", "DimCoord([1850-07-02 12:00:00], bounds=[[1850-01-01 00:00:00, 1851-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "DimCoord([2005-07-02 12:00:00], bounds=[[2005-01-01 00:00:00, 2006-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "y=4221041082.606704x+(-2257389989968171.500000)\n", "y=-811934730.738263x+(995177033324199.500000)\n", "y=3409113116.103008x+(-1262217892183683.000000)\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7faac4fd0128>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plot_data('NorESM1-M')" ] }, { "cell_type": "code", "execution_count": 79, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "/g/data/r87/dbi599/DRSv2/CMIP5/NorESM1-M/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-sh-sum_Ayr_NorESM1-M_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation sh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/NorESM1-M/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-nh-sum_Ayr_NorESM1-M_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation nh sum\n", "/g/data/r87/dbi599/DRSv2/CMIP5/NorESM1-M/rcp85/yr/atmos/r1i1p1/rndt/latest/dedrifted/rndt-globe-sum_Ayr_NorESM1-M_historical-rcp85_r1i1p1_all.nc TOA Incoming Net Radiation globe sum\n", "DimCoord([2001-07-02 12:00:00], bounds=[[2001-01-01 00:00:00, 2002-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "DimCoord([2013-07-02 12:00:00], bounds=[[2013-01-01 00:00:00, 2014-01-01 00:00:00]], standard_name='time', calendar='365_day', long_name='time', var_name='time')\n", "y=210411493.610025x+(763878202138151.750000)\n", "y=35833415886.250092x+(-25734689082824228.000000)\n", "y=36043556641.104172x+(-24970612601647648.000000)\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7faac53c9358>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plot_data('NorESM1-M', start_time_index=151, end_time_index=164)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "I should possibly do this for the entire CMIP5 ensemble, since I'm only looking at the historical experiment.\n", "\n", "What are those dips and why do they happen in single forcing experiments?\n", "\n", "Why do some of the models not start at 0 for the global value? (And should I correct that...?)\n" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "# Time constraint issues" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "`conda install nc-time-axis` helped with some previous issues but not this one..." ] }, { "cell_type": "code", "execution_count": 44, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "time_constraint = get_time_constraint(['1861-01-01', '2005-12-31']) " ] }, { "cell_type": "code", "execution_count": 45, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "infile = '/g/data/r87/dbi599/DRSv2/CMIP5/CCSM4/historicalGHG/yr/ocean/r1i1p1/ohc/latest/dedrifted/ohc-zonal-sum_Oyr_CCSM4_historicalGHG_r1i1p1_all.nc'" ] }, { "cell_type": "code", "execution_count": 46, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "test = iris.load_cube(infile, 'ocean heat content')" ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "collapsed": false, "deletable": true, "editable": true, "scrolled": true }, "outputs": [ { "ename": "TypeError", "evalue": "cannot compare cftime.DatetimeNoLeap(1861, 7, 2, 12, 0, 0, 0, 1, 183) and PartialDateTime(year=2005, month=12, day=31)", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mTypeError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-47-4949abeec55b>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[0;32m----> 1\u001b[0;31m \u001b[0mtest\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mextract\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mtime_constraint\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;32m/g/data/r87/dbi599/miniconda3/lib/python3.6/site-packages/iris/cube.py\u001b[0m in \u001b[0;36mextract\u001b[0;34m(self, constraint)\u001b[0m\n\u001b[1;32m 2264\u001b[0m \u001b[0;31m# Cast the constraint into a proper constraint if it is not so already\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 2265\u001b[0m \u001b[0mconstraint\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0miris\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0m_constraints\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mas_constraint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mconstraint\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m-> 2266\u001b[0;31m \u001b[0;32mreturn\u001b[0m \u001b[0mconstraint\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mextract\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 2267\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 2268\u001b[0m \u001b[0;32mdef\u001b[0m \u001b[0mintersection\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m*\u001b[0m\u001b[0margs\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/g/data/r87/dbi599/miniconda3/lib/python3.6/site-packages/iris/_constraints.py\u001b[0m in \u001b[0;36mextract\u001b[0;34m(self, cube)\u001b[0m\n\u001b[1;32m 149\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 150\u001b[0m \"\"\"\n\u001b[0;32m--> 151\u001b[0;31m \u001b[0mresultant_CIM\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0m_CIM_extract\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mcube\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 152\u001b[0m \u001b[0mslice_tuple\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mresultant_CIM\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mas_slice\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 153\u001b[0m \u001b[0mresult\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;32mNone\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/g/data/r87/dbi599/miniconda3/lib/python3.6/site-packages/iris/_constraints.py\u001b[0m in \u001b[0;36m_CIM_extract\u001b[0;34m(self, cube)\u001b[0m\n\u001b[1;32m 173\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 174\u001b[0m \u001b[0;32mfor\u001b[0m \u001b[0mcoord_constraint\u001b[0m \u001b[0;32min\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0m_coord_constraints\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 175\u001b[0;31m \u001b[0mresultant_CIM\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mresultant_CIM\u001b[0m \u001b[0;34m&\u001b[0m \u001b[0mcoord_constraint\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mextract\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mcube\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 176\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 177\u001b[0m \u001b[0;32mreturn\u001b[0m \u001b[0mresultant_CIM\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/g/data/r87/dbi599/miniconda3/lib/python3.6/site-packages/iris/_constraints.py\u001b[0m in \u001b[0;36mextract\u001b[0;34m(self, cube)\u001b[0m\n\u001b[1;32m 288\u001b[0m \u001b[0mr\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0mi\u001b[0m\u001b[0;34m]\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;32mTrue\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 289\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 290\u001b[0;31m \u001b[0mr\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mnp\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0marray\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0mcall_func\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mcell\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;32mfor\u001b[0m \u001b[0mcell\u001b[0m \u001b[0;32min\u001b[0m \u001b[0mcoord\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mcells\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 291\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mdims\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 292\u001b[0m \u001b[0mcube_cim\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0mdims\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;36m0\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m]\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mr\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/g/data/r87/dbi599/miniconda3/lib/python3.6/site-packages/iris/_constraints.py\u001b[0m in \u001b[0;36m<listcomp>\u001b[0;34m(.0)\u001b[0m\n\u001b[1;32m 288\u001b[0m \u001b[0mr\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0mi\u001b[0m\u001b[0;34m]\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;32mTrue\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 289\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 290\u001b[0;31m \u001b[0mr\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mnp\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0marray\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0mcall_func\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mcell\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;32mfor\u001b[0m \u001b[0mcell\u001b[0m \u001b[0;32min\u001b[0m \u001b[0mcoord\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mcells\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 291\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mdims\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 292\u001b[0m \u001b[0mcube_cim\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0mdims\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;36m0\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m]\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mr\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m<ipython-input-41-ea43d6142734>\u001b[0m in \u001b[0;36m<lambda>\u001b[0;34m(t)\u001b[0m\n\u001b[1;32m 16\u001b[0m \u001b[0mstart_year\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mstart_month\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mstart_day\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mstart_date\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0msplit\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m'-'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 17\u001b[0m \u001b[0mend_year\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mend_month\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mend_day\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mend_date\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0msplit\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m'-'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m---> 18\u001b[0;31m \u001b[0mtime_constraint\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0miris\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mConstraint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mtime\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;32mlambda\u001b[0m \u001b[0mt\u001b[0m\u001b[0;34m:\u001b[0m \u001b[0miris\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mtime\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mPartialDateTime\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0myear\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mstart_year\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mmonth\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mstart_month\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mday\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mstart_day\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;34m<=\u001b[0m \u001b[0mt\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mpoint\u001b[0m \u001b[0;34m<=\u001b[0m \u001b[0miris\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mtime\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mPartialDateTime\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0myear\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mend_year\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mmonth\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mend_month\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mday\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mend_day\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 19\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 20\u001b[0m \u001b[0mtime_constraint\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0miris\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mConstraint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32mcftime/_cftime.pyx\u001b[0m in \u001b[0;36mcftime._cftime.datetime.__richcmp__\u001b[0;34m()\u001b[0m\n", "\u001b[0;31mTypeError\u001b[0m: cannot compare cftime.DatetimeNoLeap(1861, 7, 2, 12, 0, 0, 0, 1, 183) and PartialDateTime(year=2005, month=12, day=31)" ] } ], "source": [ "test.extract(time_constraint)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
marcinofulus/teaching
ML_SS2017/numpy_kto_szybciej.ipynb
1
42801
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "import numpy as np " ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def diagram(n=1000,niters=1000):\n", " \n", " ax = np.random.rand(n,n)\n", " for j in range(n):\n", " a = 4*j/n\n", " for i in range(niters):\n", " ax[:,j] = a*ax[:,j]*(1-ax[:,j])\n", " return ax\n", " " ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "CPU times: user 18.2 s, sys: 0 ns, total: 18.2 s\n", "Wall time: 18.2 s\n" ] } ], "source": [ "%time ax = diagram()" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[<matplotlib.lines.Line2D at 0x7f29599f0080>]" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAgsAAAFkCAYAAACuFXjcAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAAPYQAAD2EBqD+naQAAIABJREFUeJzsvX10U/eZ7/vdYBsbOxYKKtbCaiKUyiaNxk6DGtPcyPGh\nM4mpueR0QimeZpKZMKRcymqaGRarU06Gk1J6Mhk6Sefk+JIyyWlSZsx4kZtTjilKV8N1rNwM7ogm\npkoCVmuUjEykjEDI9QtgzL5/fPn1JxubYHsbbPN81tLa0n6XgvN89/NqmKYJQRAEQRCE0Zh1rW9A\nEARBEISpjYgFQRAEQRAui4gFQRAEQRAui4gFQRAEQRAui4gFQRAEQRAui4gFQRAEQRAui4gFQRAE\nQRAui4gFQRAEQRAui4gFQRAEQRAui4gFQRAEQRAuy5jFgmEYAcMw9hmG0WUYxgXDMFZewTE1hmEc\nNgzjjGEYHYZhPDy+2xUEQRAE4WozHs9CIYB3AHwDwCcOljAMww2gGcDrACoB/BDAPxqG8UfjuLYg\nCIIgCFcZYyKDpAzDuADgP5umue8y+/wtgOWmaVZkrWsEYDNN80vjvrggCIIgCFeFq5GzsBTAL4at\new3AF67CtQVBEARBmCA5V+EaTgDJYeuSAIoNw5hjmubZ4QcYhjEfwH0AYgDOTPodCoIgCMLMIR+A\nG8BrpmmetOKEV0MsjIRxcTlaDOQ+AP90le5FEARBEGYiXwPwz1ac6GqIhQSAkmHrFgDoNk3z3CjH\nxABg9+7duPXWWyfx1q49jz/+OJ555plrfRuTjnzPmYV8z5mFfM+Zw4oVwEcfvQ/gQeCiLbWCqyEW\n/hXA8mHr7r24fjTOAMCtt96KO+64Y7Lua0pgs9lm/HcE5HvONOR7zizke84MDOOSVZaF8cfTZ6HQ\nMIxKwzBuv7jKc/Hzpy9u/2+GYbyUdchOALcYhvG3hmGUG4axAcAqAH8/4bsXBEEQhOscwxhRKFjK\neKoh/ADeBnAYzDn4AYBfAXjy4nYngE+rnU3TjAGoA/CHYH+GxwGsNU1zeIWEIAiCIAhjYLhIyMnh\ny2rGfErTNN/AZUSGaZp/PsoxS8Z6LUEQBEEQLqW8HOjoGLouJwcwzcnxMlyragjhIvX19df6Fq4K\n8j1nFvI9ZxbyPacXw8VAaSnw0UfArFnAhQtAbi5w/rzF15xIB8fJwjCMOwAcPnz48IxORhEEQRCE\nK2XOHODcCDWEubkUCTYbtw8O/gr9/UsAYIlpmr+y4toydVIQBEEQpjiGcalQyM3l8oYbgKIi4NQp\nYOFC4OwlrQ4njogFQRAEQZiizJ8/NOyQk8Nwg2EwP2H2bKC7mwLhxhuB3l5gySRkCIpYEARBEIQp\niGHQW5DN+fNAXh5QWMj3Fy4A1dXAmTP0POTmApGI9fciYkEQBEEQphB5eZcmMebm0osA0Itw9iyQ\nn0/vwqFDwIIFwNy5QF8f8PnPW39PIhYEQRAEYYpgGMDAgH6vGBhg+GHlSgqHBQuAsjIKCFX9cP48\n0NMDnDhh/X2JWBAEQRCEa8xIXRizixVtNgqGI0f4ef58IB7n+sWLgdtvBwoKKCIWLrT+/kQsCIIg\nCMI1RImEkToZGAbDC93dFAbd3Vzf3c2QQyYDRKOAz8c8hr4+8SwIgiAIwoyhpOTy3gTVkbGvj+EG\nux0oLgY+9SkgnaZ3wTQBlwtoaQGSSS0mrEbEgiAIgiBcZQwD+Phj/Tk//9J9Zs2iNwEAPB69//z5\nXPb0sMeCw8FXSQnw6KMjn2uiiFgQBEEQhKvEvHkjz26YlWWNFyzgsqiI+QgA8OGH9CyUlGjvQVER\nl6WlXMbjQFsbcP/91t+3iAVBEARBuAoYBnMMgKFP/yrEoOjp0cvjx5mzUFzMnIT2diY6lpSwCmJw\nEOjqYm8Fu52hiJ//3Pp7F7EgCIIgCJPIDTcM9Sbk5w8d9DQ4OLTr4mc+o+c89PXxBdBzAFA0pFJA\nRQXQ38+ujU4nRURxMasirEbEgiAIgiBMEoahPQUKlbgIsAHTrFmA36+3r1qlQwzZZZCf+QxFweLF\nFAWxGIVBfz+QSNDT4HBwvdWIWBAEQRAEi1m0aOTcBIBhhcFBvX3ePCAcHrqPy0XjP7wM8uOPgTff\npFhwONjJMR5nHoPLRS9DXp7130fEgiAIgiBYiGHop3slCHJzGX6YO1dPhTRNehAGB4d6FsJh5h4s\nWKBnQfT0AG43QxMOBz0Kx44x38HrBe69l8eWlY08xnqiiFgQBEEQBAsY3oWxtBT4T/+J7wcH6Q04\ne1bnIOTm0uj/7ndsrKRQQuOBB2j4BwfpNThyhCGM/HzmLagSynSa25JJIBRigyarybH+lIIgCIJw\nfTFSyKGwUCclquqHwUG+AIYqTp+mhyEQ0MfV1FAw+Hz0HFy4wPUVFRQcJ0/yBQCVlfQmtLfT83Do\n0CR8OYhYEARBEIRxc8MNOoFx1ixt2AF6AwD2SOjroydBMXs28xF6emjss8dK19YCf/Zn9BQMDgI3\n3cRzxWLMTVi2jCWYqmFTe7tObJwMrwIgYQhBEARBGDP3339ppYNhDE0ufOst5hUANOx9fXz6B4Bb\nbmGlQ14eQw3ptD7uyScpLPr7KRQSCeDwYW7zeID9+3ndri7g4EFWTwAUDEVFFCdWI2JBEARBEMbA\nvHnAvn36c85FH70KLwAUAXfdxf4JKldh0SKdj5BMMjSRl8cGS9lhiJISLh0Oeh/mzOHxbjf7K3i9\nQGcnXwDnQsTjegrl3Xdb/51FLAiCIAjCFZLdhVF9Ngx6AnJzddtm06Q3wGZjBcSFC8xPAIAbb6Sw\nSCSAO++kcMgOQ6xYwVBDby/wuc/xejfdpMMOqlRyYEA3aFq8mKGKri6WVlqNiAVBEARB+ASGVzoA\ndPnffDNFwvnzwK23arEwZw69CqEQsHLl0OO8Xi6XLqVIyMtjnoIiEgE6OpjLoDh3jqLh5EmgvBz4\n1rf4/vRpVlQcPcrqi95eCgurEbEgCIIgCJdhtOZKAL0DFy4wYTEe122c587lE//KlQwTFBToBMej\nR4HbbqPnoaeHxwWD+py1tZwJ0dGhSyrz8uiBmD+f+z//PN+fP899a2vpVaiqmpSfQMSCIAiCIIzE\n0qVaKOTn6+mOANfPns2n/+Ji5i1kMgwbAMwxUKOjAe6bHb4AGDbIz2fzpewqhnCYeRFlZcCDD3L7\niRMUC+o41eGxrw/48Y+ZC1FTw+3ZIQ2rELEgCIIgCMMwDI57VsydyxwBJR7UbIff/IaG/exZGu+j\nRykMSkq08a6sBOrq6B2YO5fbjh5ltYPDwQqHUEhfy+mkh6C9nZ/VSOqKCr6Px3lsRQVDDo2NFBLP\nPsvzqYoLKxGxIAiCIAgXycsbOeyQk0MjrkQCQE9BURENtdfLpMWVK7n87W8ZfnA6KTpCIeDUKXoC\nenuZ0/DBB/Qs1NQMrYZQiYqVlQxPnDlDQRGLAQ0NusFTMslchkCAYuTBB1lWqYZQWYmIBUEQBEEA\nRcLAwMjbfD72M8jN5X45OQxLnD1LT0IySa+B8kY4nTpsce4cjb0iN5chjv5+CoYf/ODS66VSzFmo\nreV5KyuZ9/Bnf0bxcOaMLrGMRLh/JDI0KdJKRCwIgiAI1zWq0iHbazCcVIrJhnPmcL+8PAqLoiLm\nLACsflB5BfPn0zvQ3Eyjnkpx/axZ/ByLMb9BhSyywxDhMMWAMvz5+dxeVgasWQPY7Xyp4VMOB4WC\nagD1b/9m2U/ze0QsCIIgCNc9IwmFnKyBCKtW0UDPnk3jrfoq5OZSLKhqCLudgqCzk94It5ti4P33\neb5Zs2j0Ewl6Fm6/Hdi0aWgYQuUs2O0UFX19PEd7O/DKK+z2WFWlx1rv3s1rPfAAj/niF63/fWQ2\nhCAIgnBdkp2bMFKegiqDzM2lYe7podHOz6cYKCzUjZbOnWPIIBYDPv6YzZZaWoCnntLtm8+fpzdi\n/352WezpoTdgx46hHSF37OD5uruZvzBnDoVITw/w8MM61HHkCHMk0mleq7ubn0+csP63Es+CIAiC\ncF2RHXbIfo3GwABd/ps28cn9N7+h4c7PZwvn7m52V3Q42D2xqIhiorJS5yrk5vI8OTlMgDx0iEJh\nYIAJj01N+nrPPstKh9tvZ/Ljww/TC3HPPfQgxOPAnj30aLS06GRIj4dC4tQp638zEQuCIAjCdcFw\nkTAc02QrZoBhBYVqsATQGLtcPE9BAY14XR27KqoGSvfdBzzyCIVFZydDBpmMTqBcsoTndLmA732P\nn51OfT0lMFIpeipaWihOfv5z5iYsW0aRovD5GMYoKpIER0EQBEEYN8P7I4zGyZPMM8geCjUwQCMd\nDtPI5+czNNDfT69CRwc9Cvv382n/lVeAvXu1F2DfPlZGqPHVvb0MZ/zyl0yAfOONS++jp4dJjrEY\n76mgAPjKV/jZbue1Ewmuj8V4f34/vQxK8FiJiAVBEARhRnOlQkFx/Pil64qK6Dm4cAF4911dzphO\nM3dh3jyGDbq7mZBYUABUV9Ogd3XpJko2G88fj7M3QzJJYVJdra+lkiOrqhiG6O/XFRCAHmedmwu8\n/TbFTSymvR/SZ0EQBEEQxsDlwg6XI7sSwjDYcdHrpUfhlluYF9DeTgPe28vkxdJSegTmzqWA2L6d\nBry0lCGHkyd5vkWLGLY4cYIehttuG5qzEA5TEHR00GNQUEChocIRdjsFQnk5sHChPk6Nv54MRCwI\ngiAIM5JP6p1wOVQlBMByx8WL+b6khJ0SAXoNVq7UHRwPHqTIcLn4dO/1Ahs20IjH4/QE9Pfz2KIi\nigqAHgTlFQDowbDbmQsRiTDfIRZjAmUqxa6Ohw7R+9DdzTwKm437FBUNnWBpFSIWBEEQhBnHRISC\nQrVVPn+egmD/fgqB55+noT9/nsa8ooLb+/uZ33D6NMsaUyl6F/LyaOgTCQqPdJr7nz/P/YChBn7L\nFi6jUXZ6VPMeIhHdy+HHP+Y+OTk8V309e0G43cB7703se4+EiAVBEARByGLWLCYJqoTEG2+kEOjv\np8HOzaXBLi7mmOlDh1iN4PVSpJw6RYFQWwu8+irzGQAKiYEB7aXweumZaGsb2g66s5PLUIhJixUV\nDIN873vMi4jHgW9/m56E3Fweu2MHxcVkhSJELAiCIAgzjol4FUxz6PRGp5PJjG63nj554gQTGefM\nATZupNcB4D45OfQuBIMUHD4fPRJOJ0sybTZg7VrdTCmdBjZv1tcPhyk0VJvoN99kqGLHDgqFZcu4\n3xtvsK30mjXsAdHeTnHx5pvj/+6jIWJBEARBmDGoXgoTIS+PyYW//S2wYAFDBQcPag/CsmX0Pixd\nymTFvXv1E39vL6sbzp7lufr6mOAYidCwnzvH9Xv20MPgcFAIPP20vv7q1cDy5Xy/YQPFh9fLz2oi\npcMB/NVfsbwykWCXyI0bKTSkdFIQBEEQRiBbJEzEqzB7NpMEZ80CPv1peghmz6Y3YelSGvmODhr+\nZJJVD0eP8tj+flYo5Oay0ZJq4fzqq2zUdOYMPQ+hEIWFylfIngsBMAyxbRtFxMMPU5CkUhQKAAVJ\naSm9GUp8qL4LbvfQCgmrELEgCIIgTFuGiwQlFMbrXbhwgbkI8+YxPDBvHtd1d9PFf+utulNjPE4j\nXlBAgdHTw2Vuru6+qM6ZyTBhsq+POQgnTjDXIJUCnnuOiZAKj0dPlNy6lUIgFmMTp95erm9v5/2l\nUgxvOBz6+O7u8X33yyFiQRAEQZhWKIEwfL7DSPuN9bwAkw57ejiL4eRJ3V8hHKZRzslhJUNhIbfP\nns1Ex4UL6WU4e5ZehO9+l+f7wz/UpY9nzzJn4ctfZi5DZSUbL738sr6P1lYuN2xg3gPAY1Tnx7Iy\nHvfggxQWe/YwZ0H1Z/jww7F97ytBxIIgCIIwbRjJizAS4/EwmCbDD//9vwP/5b8wzKCMdGMjwwrx\nOIXCqVN8qp8/n56FZcs4bbK3F7jpJp7v+ee5PHqUQmHTJnoWOjp0w6VMhoLg2DF9H9XVvE5DA42/\n283SyDvv5PaODh63fz/P6/MBO3dS4AD6+lYiYkEQBEGY0ozkSbgSxiIY1PlnzWJZ5N69uoGSywV8\n6Uu6CsHloogoKKAHobycSYdLl3LbuXNMXhwY4P6LF1NEhMNcd/QoWz739lKQqCZPitZWnkeFMWIx\nHltVxfeFhcx3UEOj2tooKNasoRfkP/7jyn6fsSBiQRAEQZiSjJaPMBauRDBkb7twgca+u5vhgcFB\nGucf/YiehNOndX7AsmX83NvLbb/8JQ36iRM06vPmcZ+jR+kpePFFViqo2Q1lZcx/CIeH3o/LRW/D\nkSM0/h98wLyEYJACJRJh+CGT4f5bt9IDEYnw8733jv13+iRELAiCIAhTjomKhGzUOS5XVqn2uXCB\n7vy+Pk6PHBwE3nmH+xw5wj4L77zD9W1tFARq8FReHrB7N7cdPUqj7/NRTJSXM3Gyr48CYu5cJine\nfTf3LS/X95LdZ2HvXpZINjdTmJSU8JyplE6obGxk18dYjOLjt7+d2O81EiIWBEEQhCnDeMINV0q2\nlyFbNGRfR7V4djq5VHkALpee5VBcTFGRTNKToPbt66NQmD+fRryoiE2XXC7grbfokZgzh6WTJSU8\n5sgRCoMDB/Q9+P0UDFu30rsRDmsBo9pIAww72GwMmzQ0cF0moztGWomIBUEQBOGaM5kiIZvs6ons\nXAjF4CCrHd57jzkLc+ey4RIA/OpXFAoDAzTcN9/MZMOCAr5uuon5CXPn0rjbbBQIySRFQmcnqyEC\nAa5LJBhO2LdvaLtnj4cCRIUnjhxhGOORR1h2eeYMqyGam3n9WIwhCLcbWLFiCs2GMAzjG4ZhHDcM\no98wjEOGYXz+E/b/lmEYRw3D6DMM40PDMP7eMIw547tlQRAEYaZwtUTCSIx0zYEBPvUXF9Pg5+ez\nUiEe53bVgKmqikY7GtVDpACddFhQwAqGTZto4OfM4TnnzmUVQ3Exh0H5fNyuyiUViQQ9DMEgPQl2\nO4VHTw8FSVsb3yeTFAhq2mRzM/DZz1r/W+V88i5DMQzjqwB+AOBRAL8E8DiA1wzDKDNNMzXC/n8C\n4L8B+DMA/wqgDMBLAC4A2DTuOxcEQRCmNVZ0XJwMEgngttu4TKXoWUgkuG3hQuYmlJXRYLtcFAYA\nxUN7Oysj7HYOe1LzJRYtoqDo66PXoKCA27u7KRyqq4feQzTK/fbtA5qa+D6RoLhwOHSlREUFl8kk\nyyf9/qkThngcwPOmab5smuZRAOsB9AF4ZJT9vwDgTdM0/8U0zQ9N0/wFgEYAd47rjgVBEIRpzbX0\nJoxGdjjC6QR+8xu2aJ49W+/T1ETxkEyyhXMyyXBAMslqBLud+50/z3CD263DESUlzD9Q5ZT9/dye\nTnO0tJo0CfC9mgWxeTPDEc3NFBD79un+Cr299CpEIhxM5Xaz4uKahyEMw8gFsATA62qdaZomgF+A\nomAk3gKwRIUqDMPwAPgSgP3juWFBEARh+jIVvQnD7yWZpAfgJz/hDIZUioba76eR7uujd2H2bHoX\nKip4TDJJQVBQwHyGI0do+Lu6eN5IRHshTp7k0m7n+VWYA+D7aFR7M2IxnciYSFAY9PbSu/HkkxQQ\nat+VK5lgaTVj9Sw4AMwGkBy2PgnAOdIBpmk2AtgK4E3DMM4BiAL4f03T/NsxXlsQBEGYpkxFb0I2\n2fd0/jyXK1eyK2NBAasMPB4mGRoGGykVF7Mnw+HD9Byk0zTsfX003oEARUVpKT0M8TiNfjrNQVNu\nN8/R0jI0DLFuHY8NhylAHA4KhViMnoznnmPvh/p6hkFUKMLhYN5CKGT97zPmnIVRMACM+J/fMIwa\nAN8BwxW/BPAZAP9gGMZHpml+73Inffzxx2Gz2Yasq6+vR319vRX3LAiCIFwFpqI3YSRUhQRAI3/w\nIN+rkEFTE5/4TZOGuaSERjsU4ihrgAZ99mwKhq4uiordu5kouXgx9zlyRHsiCgqAurqh93HsmO4S\nCTCpsreX+4XDwPr1FC6bNwMffNCIrq5G/OpX3Pef/gk4cyZj+W8zVrGQAjAIoGTY+gW41Nug+C6A\nl03T/J8XP79rGEYRgOcBXFYsPPPMM7jjjjvGeIuCIAjCVGG6CAWFEgymycoFNcuhpgZYvZr9DG64\ngbkBFRW6URNAT4TXy3Vnz+oWz1VVusTxgw8oGsrK2Ehp+3Z6FpzOoY2Z6uvZjEn1VHj6aVZM2Gw8\n57p1wNe/DgD1sNnqkclQfGzdCjz11K/wwQdLLP1dxhSGME1zAMBhAF9U6wzDMC5+fmuUw+aClQ/Z\nXLh46DiHiAqCIAhTmakedrgcpslExFOn2HypspLry8uZd9DTwzDD4cOsZigpofehq4tGPzeXpZJV\nVTTyXV30Dqh943GKh4cf5raaGnoSFJ2dzHlQQiGZpFejuZnhiVSKYYxwWFdF2GzASy+xm+PChdb/\nJuOphvh7AI8ahvGQYRiLAewEBcGPAcAwjJcNw/h+1v7/G8D/ZRjGVw3DcBuG8Uegt+GnF5MjBUEQ\nhBnEdPMmjERVFXMX+vrYznnfPq7/5S+Br35VexPq6tiGOSeHRv4v/5Ji4bbbGKpoauJ+JSU09OfO\nMQGxv5/rUimGKbITHD0eCgifj5/jcXofVqzgOOpYjOLD7aZo8XqZ06CuNSVKJ03TbALwV6DBfxtA\nBYD7TNNUc65cGJrsuA3sy7ANwLsAdgE4AOYwCIIgCDOImSAUAODQISYmAgwplJQADz3EXAa7XXdy\nbGujN2HePBp+la9QVUUDr7wD+fk87sQJ9mPYuJEeio0buS3bs6DYs4eCo7CQ4iGR0JMqVZmm08lr\nxGKs1ujooHixmnF1cDRNs8E0TbdpmgWmaX7BNM1w1rZlpmk+kvX5gmma20zTLDNNs/Dicd80TbPb\nii8gCIIgTA2ma9hhNNTTfiZD4x8I0Hirqgc10CmRoMdg7ly2iy4qorfg2DHuW1Skp0V+6lM8p6pY\nSCS4bfnyoddOp7lsaaEweOKJodtV9UU8rveNx3mft9xi/W8hsyEEQRCECTNTM9BsNlY6qPkLtbX0\nJuTn0zhnMhzo1NdH8dDfzzyHnBzmOLz8Ms+hhIfLxVd7O/ffv59JiceODb2u8hzE4wx1bNtGz0FV\nFdf5/dynoIAipqdHl1++/bb1v4OIBUEQBGFCzJTQw0icPk1DfOgQvQUtLQwFOJ3Ahx8C99wD/OM/\nMr+hqIiehfPnGZaoqQHuv5/GfOtW3f0RYNJkbS3fh8NDKyHKy7ltzRrdtCkapUhoa+P2F1+k2Hjg\nAXopNm0CNmygl0FNyrQSEQuCIAjCuJlpoYeRKCrSSYMFBTTWsRgFwzvv0JNQXMxqBYCCweWisHj6\naa7fs4fiIS+Pxj8U4iyHrVtp+Hft0tc7cADYsYMhCjXKessWejaqqphLEQhwfVsbyyzDYYoLQG+z\nEhELgiAIwrhQQmGmk0yyN8KpU/oJH2CfBa+XIYdAgDkLeXkUD5EIRUZrK5s7dXbSsC9ezFbPJSUM\nTwSDWhAowmEeC+gplw0N9BwAPE9XFwXH8eN6MmUopNdbjYgFQRAEYcxcL0JBoUonc3IYIpg1i96F\ndJotoNvaGH64+25WRPT0MK8gFGJS5MqVnOmgukKWlFBotLQwJ0HNdgCYzOh2U5hUVfHcqowyGKTg\niMd57kWLdJvnQIAiQ43LthIRC4IgCMKYuN6EAsDvm5/PMspXXmFY4uRJVkG8+CLDDgMD7MNgGMw1\nCIcpCAIBio3nngO+/GWWQiaTFAOrVvF4v19f68ABGn+vl4mQJSW6tPLZZ3VOwne+Q9GgyjP37KG3\nQg2rshKrZkMIgiAI1wHXo1BQ9PfTs3DzzRQKubkUCDYb8NZbfKovKaGAKC7Wsx0OHqSxLyzkaGuP\nh2KhsJBeg0CA6xRq7oPfzzyHlhZ6FBwOCoyiIoqR73+f54hEdCknoCdaWol4FgRBEAThCpkzB3j/\nfQqHVIpiYf58iojubj7px2JcbtlCg+9yURwcO0bPQjyu8xTsdr5aW/U1yss5LApgmKOmhu/9fl0m\nWVjI8IfLRZHg93PZ0iKlk4IgCMI15Hr2Kih6eykQAHoOnE4mIZ4/T4/DZz6jOz82NFAYFBZSHGzd\nSi+D6soIMCdB5UMoHnqInoSWFnZkjEQoBHbsoDiIx3mNVIrVGMrjoBIb//qvrf/eIhYEQRCET0SE\ngsbtZl5AYSENt2rC1NfHiZPpNMMQAI17ZyfFQnMzQxcuFw29z8dXXp5uqATQe9DWRkFQV8ewQ3Mz\n8PrrvN65c2wGFYtRKKRSrIJQHSaDQeu/s4gFQRAE4bLM1O6M4+X4cRpngIbf46EBB2jgBwa0WAiH\n+fnIEYYiSkpo/E+epKjYs4fVDdm4XBQJgQA9BkeOsF/Dtm30HhQXU7C43dw/naYXIxSicPjc56z/\nziIWBEEQhE9EvAqXcvw4vQYdHfx9+vroObjhBnoeVOMmgPkF/f007IcPUxBkMhQXPT1DcxY8Hj1z\nAqDnoalJD4rq6OB5VEKjynkIBICnngJ++lPrv6uIBUEQBGFUJPwwOnY7J0gCTHy87TYa9pwcljyu\nWMGn/fnz6WEoKOAxAwO6KVMgwATGdeuGnjudptDo6qLnIZUCGhspOIqLef5EgudLp/ne5eI+N95o\n/XcVsSAIgiCMiIQfRufoUYYS8vP5ee5chhl6e+lhAJiY6PVSJPT0AGVlzDnIzQXefJOehepqYO9e\n4LHHhp6/o4PGv6hI91Wor6c46etjCEL1V6iv5/tgkOLi1Cnrv6+IBUEQBGFUxKswMuXlWgQAbNaU\nSjHp8bbbdM4CQC9CQwOTFlX44fbbuW3zZi5VK2eAoY21a2n83W56Hmpr6TXYtIkhDYUaUw0w7FFU\npMMTViJiQRAEQbgECT98Mnfeqd/Pnq29C7/+NUMIDgeXySSwfTv3e/ddYOFCGni3m6GKykoKhGya\nmykuYjEdglBjq9Np5i84HPRsvPAC161fP7QTpJWIWBAEQRCEcfD66/p9Os3wgKpsUG2Yq6sZsmhv\np7F3OLhIoPPiAAAgAElEQVQ9P59CIBTiKxweem63m30Z3G7uZ7fTY+By6ZkS0Si9DiUl3B6PM6Rx\nyy3Wf1dp9ywIgiAMQbwKY+dTn6Jn4d13OWSquJihhe3bdbfGqioafoDJjV1duitjdoKjx6P7JTgc\nLK/0+5nEqBov1dRQLASDnBcRj3P7xo066dJKRCwIgiAIwgTJzaXB/uxn2fa5uBj44heBJUvobfD7\n6WlQHRwrKnhcKgXs3k0PRHm5Pl80Sg9CKgXcdx8/qzBEezsTIOvq+LmxkZ/XrqWwUEmXViJhCEEQ\nBEEYJ3l5XGYy9Ch88AHw7/8O/OY3FAp+P3MW9u6lV2HhQgqJw4fZY0GNl86ms5PCYP9+fvZ69Tav\nlzkO775LAdHezmqIsjJuX7MGuOkm67+niAVBEATh90gI4sp57DH9W/X0DB0NvWABhUI0yt4IlZVc\nb7fzfX8/wxAtLTqPQbF8Ocsut27l8vnnGaqIxZjbUF/PJk0dHQxHhMM8r8p/ePNN67+riAVBEATh\n94hQuHJiMf5eyu3f0wMMDuqujakUJ08OHxnd1aVDDGpSZDYHDnB9YyOXy5ZRBKxfz1yHxkZg506K\nibY2igWHgyKiq0s8C4IgCMIkI42YrpyeHlYnzJnDz4ODDDOoygiA/RVUb4UjR9iHobOTHodEgkZ+\npL4Ial06TQGwZQtzIrxenstmY3Lj8eN6nPWTT1KADA9rWIGIBUEQBAGAhCDGysGDXPb1Mewwezbw\n4YcMMcydqw1+SQlDEh4POzxWVFBArFlD455OD+2z4PHQk5Cdq9DQwJCE6trY1UWvwtKlFBH79wMv\nvcRqiLfesv67ilgQBEEQAIhQGCvLltHQ33orOzgODjJ5UXka9uyhYEgmgRdfpKEvKeHneJxhDFVK\n6fHo83Z2MkdBzYbo7eV5amu5/4oV3Keujp8jEZZlNjVRZExGnwURC4IgCAIACUGMBZeLBr+kBHj/\nfVY+zJoFnD/P7R4PDXwiQU9DIMD+B7EYj/F6afRtNoYYhnsW1MRJn09XOgBs0rRnD70T+/czH8Ln\no2hRXofhORJWIGJBEARBACCehbEwf742zmvWsCrB5+MQpzlz6A0AGH5wu1m5UFvLigmbjbkLTz5J\nT8D27ayAUHR20qvgctFrkMlwfTzOa9TUMP+hpIQTLAF9/kAAOH3a+u8rYkEQBEEQr8IYee89egdi\nMT7h793LZkxqSmQ6zW2NjTrUoEokMxnut2sXP9vtrIDIJhLhsWvW6ONDIQqIlhaeP5lkbkQkQu9D\nYyNnStx1l/XfV8SCIAiCIF6FcZBMsjtjQQGFQkUFmzSZJr0AKsQA0AsQjXL90aM8dscO3QpaTY7M\nJhSiEFA9GurrWSbp8wEPP8x13d30KHi99C74/aySsBoRC4IgCIJ4FsbI+fMUB93dzFfo62O44fbb\ngQsXWB3R0QGsXMn9bTYa9MJCJiMmk/QuFBZeem41G8LjoVgIBOgxCAbpVYjFKBDWrqWnIRikZ6Ou\nDli9enJKJ2U2hCAIgoCf/exa38H04sYbafAHBoBDhygeWlqGDnEqKWG4IJGgqOjqYgOnbBGhjP8P\nf6iPU30YioooMp57jiWRAEVEKkVPxJ49XKdKNFXFxblz1n9f8SwIgiAIQxLshMuzdClDD4WFLJ/s\n6eH6VauABx9kHkEiQTHhcnEQVEkJZ0F0d7M6oq2NXgO3m8du2zb0Gl4vhQJAARKJcNiU6svQ3Ewx\n4XDocMXx4xQekuAoCIIgCNeYaJTLY8doqP/iL9hfQSU6qqZMKh+hrY0G3uvVIQZFLMY8gyee0Os8\nHoqCri6+1H6trXyvmjUlk7wHr5fVF7t28VzSZ0EQBEEQpgAul/YopNPMXygrY1njnXfSg9DTQ6Nf\nVcVXSwuNuyqrPHaMwmH4bAgA2LCB5+rtZbXE+vX0Hvh8FAR+P8XIsmUss6yq4nanU/osCIIgCJOA\nJDeOjVOnOBr65puZfNjVxSTDjg5dxpiTw7yCp55iW+j2dj0USjVZWrKEy9JSXUapaGigB6Oujh6E\nnTspLCIRXiscZlXFa69pEaESGz/7Weu/s4gFQRCE65zS0mt9B9OLoiKWMxYUUCAAwP/6X8wZOHYM\neOUVJj6eO8fGS/39Q49XoQXlmWhvZz6CoqmJYYdAgJ6J4mJ2e1y9moJBtXzOz2c+BEBPB8BchslA\nxIIgCMJ1imHwpYyX8MkUFHCyZH8/n/gBhgt27uT7r3+dYuIb32A5ZVubrpBIp5njUFRE70JnJ8MM\nqo+C4oknGHZobqbHYOPGoSLA7eZ5HA7mKzgc2tugEiatRsSCIAjCdYQSCCr0YJpMxjt27Nre13Sh\nrIz5AfE48PHHzBtIpWikFy1i/sHSpTTg6qn/gQe4vb2d3gJV5TAwwFCCauecTThMz8Nzz+mqiaYm\nXsvnY7Mnnw949lnmL9TWcltLC5MsrUbEgiAIwgwmWxyoEdTZL4BPwMKV8etf07jX1VEU9PToBMV3\n36WIOHSIhjsQ4PqDBykQampYMQHQI5CbC9xzD419Q8PQ6/j9XKqQhMOhqzCqq4HNm+mpcLkoLMJh\n7uNwsITTakQsCIIgzDBG8h5ki4PhmCZQXn717m86U1jI8MOrr/KzSmKMxYAvf5l5BIsW0ZA/+ij3\n6e8H9u1jLoPDoUVERQU9C/v3c39F9pyIDRu4VEJBlVDabAwfqWqKcJj7bNrEuRVWI2JBEARhBjBW\ngSCMndxc9kAA2LGxt5dP9jt20MPQ3q6bNWV3afR6madQXs6kx0SChv3IEYY0AM59UHg8egbEmjX0\nMtTX8xWLMX9B9VpIpXjuZJKhiXCYEzGtRsSCIAjCNMVKgTB86qFwKcXFFANlZczzWLuW60tLde+E\n/n6+LytjxUJuLtfl5tKoq4FSAPDIIwxpKMGg6Oykt0A1b1L7x+MMMdhsFAk1NeznYLPxHOq4yUDE\ngiAIwjRisjwIX/rSxO9tpnPqFMMFdjuN9I4dFAqZDIVDdzdfqo+Cy8WQRDxOL4PNRg9Aezu3795N\nT0EsNnTqZGMjhUU0Si9EJsN1ajx1JsOERqeT4iMQ4H2FwwxDTAYySEoQBGGKM7xp0mSEFiRc8cmU\nlupyyZISPs17vaxYADieuraW1Qudndz27LP0BgSD9DjMn0+PQVcXRUddHXMWVJ8EgMe1tFBcrF5N\nUQBQDJSWcnswSJHR38+BUmqYVDAog6QEQRCuGyQHYWqh+lHY7TTS5eV8wt+9W7dgVk/+kQhDDH4/\nPQZ9fcDixRQKbjfDBzU1ejx1WRmNvMLvp7Dweik6QiF6GJxOXWapQhSVlTyXz0dPxCuvAB9+aP33\nF7EgCIIwhbhWAkFaPl+eb36T1QsADb4KG9jtDBk4nXzq/+AD3W8hHqeRnzuXVQ9nzgBvvEFPwu7d\nLJdcvZoiRHkGACY41tXxnI2NvIbTSTGSTA6tjPB6eb5EguGIbA+FlYhYEARBuMaM1gfhaiIei8sT\niTC5MRBgV8YTJ3RzJdVREeC8h/5+VjGsW6eN/LlzDEncfLM+58MPszSyqGiokS8vZ2OlSIRiQM18\n2LmTXgivV/dV2L0beOklCohIhLkTxcXWf38RC4IgCNeAqSAQRron4VK2bQPeeksnLtbVseVzVxcT\nC1VIoL5eV0Wo4wDgwQe577e+Rc9DSQnDEgDDDDabLskE2E2zoIDvo1HOlwDYArq+ngJENXlavJh9\nF7JLL0+csPwnELEgCIJwtRgtD2GqMJXuZSrx4os00K+9Rg9CNEpR4PNpow8wZAAw3BAK8ZjqanoB\nbriBxwwO6qoIgJ6KQIAGPxuVz+D10lsQifA8KvyxZQtzG9QwKtWXAZCpk4IgCNOS6ZKoKJ6Fkenr\nY77CfffRsKskw7Y29lJIpXTyo5odobo0trYyTNHTw/CCzcbjAIqO9nYKgewwhBowpVo879nD934/\n3wPA9u16eJUSJIrTp63/DUQsCIIgTAJTMczwSUz1+7tW9PQAP/85Xf3791MgqLHTkQhzCpSnoatL\nj61et06fQw16OnGCoiKdZm5BZSXPkR2GUAmOAEVHURHfh8MMPYRCOuygtqm8CZeLvR6sZlxiwTCM\nbxiGcdwwjH7DMA4ZhvH5T9jfZhjG/zAM48TFY44ahlE7vlsWBEGYmkz1MMOVIN6FoZSX07h/5Sv8\nfPvtNMqnTtGgRyIseywpoaEuLWUIobmZXTGrq7nPihWc2TBrls596OujuMhuyATQG7F/vy7HBCg0\nnnhC7xMMcvuKFRwqtWED7zMcBj73Oet/hzGLBcMwvgrgBwC2AvgcgHYArxmG4Rhl/1wAvwBwE4A/\nBlAOYB0AmaAuCMKMYLqEGYSxc/o0sGyZboRUWkqjbLOxEkFhszFn4dVX6WXo6QGWL+fUyNJSCoYv\nfQn49KcZhli8mJ6IVIrHNzXpc7lcFB/BIIWE201PxIEDFAOBAMsmW1qAF16gaFBTKFMp4I47rP8d\nxuNZeBzA86Zpvmya5lEA6wH0AXhklP3XApgH4D+bpnnINM0PTdMMmab56/HdsiAIwtRguoUZrgTT\nFO9CNh9/zMRGp5PGXTVlAmjwS0uHNlRqaqJnoaaGxt3vZzJiSwtHVadSzGk4epT7d3fzc7bXwOPh\nkCmHg/0TNmygQAiHmRgZiejmTu++y/U2mw5NPPWU9b/DmMTCRS/BEgCvq3WmaZqg5+ALoxz2fwL4\nVwANhmEkDMP4tWEYf20YhuRLCIIw7RgpF2GmMRO/03iZOxc4e5ZhhUhEG2q7nUuA+Qjt7fQ+eDx6\nroOitZW5CY88wmmVHR30Hvh8FAFdXbrMEmCC48CADj1s3szrh8MMYcRiumvkwoX0YmzZQtGSTgOf\n+pT1v8NYDbYDwGwAyWHrkwCcoxzjAfCVi9daDmAbgL8C8J0xXlsQBOGaMRO9CKMhngVNXx9DAuvX\n0xCvWaO3pdM0/A4HyyUBCgObjXkNy5frLo5eLw38mTNc5/NReOzfT3Hh9+vzLl/O5k7pNLBrF8WA\nzcb8BLud97JnD8Mezz5L78bmzTy2vV1Pw7QSqwZJGQBG+9OZBYqJRy96Id42DKMUwCYA37vcSR9/\n/HHYVIusi9TX16M+u/uEIAjCJJFtNGeyOBBG5v77uTx3jgZ+yxZ2XayqYnjC6+V2p5PehZYWXa1Q\nUUFDH4nQE6CSFRcsoBAIBnme3l6GFsJhigSA4YtYTIchVMVDdTU/P/kkkytXrwYee6wRbW2NsNvZ\nzOnkSeAv/zJj+W8xVrGQAjAIoGTY+gW41Nug+AjAuYtCQfE+AKdhGDmmaZ4f7WLPPPMM7piMTA1B\nEITLcL2LBJW3cD1+92yOHAFycjheWlUlxON85eayJXNlJQ14Os0n/FSKr+wQRTjMl9NJL8Ebb1B0\npNMswXS79WRJRUGBbrK0fj0FgkqCVHMjtm8H5sypxz//cz2CQe7/wgvA1772K3zzm0ss/S3GFIYw\nTXMAwGEAX1TrDMMwLn5+a5TD/j8Anxm2rhzAR5cTCoIgCFeb6ynUIHwysRhw4400wqrp0fz5rI54\n+GE++WcyOj9BNWJatkyfw+PhsX4/RYXLBcyeTS9Ee7sOX2Tj8eg+DqpaoqSEAiEa1fMmOjq0V2LD\nBnoxysp0d0grGU+S4d8DeNQwjIcMw1gMYCeAuQB+DACGYbxsGMb3s/b/vwHMNwzjh4ZheA3DqAPw\n1wCem9itC4IgTJzrIWFxPEhVBEMGPT18Wo9GmXjocLD0saWFIQSVa6Ci4+k0PRJtbQwbqA6Oyuif\nOMGwRkEBvRL5+Vyf3cFRHePzUbDs3Ml8hUSC4iQS4X4vvcRlKkWxEIvxPtRQKysZs1gwTbMJTFD8\nLoC3AVQAuM80zf+4uIsLWcmOpmnGAdwL4PNgT4ZnATwD4G8ndOeCIAgTYLo3TxIml5wcljWqngde\nL3MKfD7mGlRW8ine6aQBD4dpxLdsoWAoKaG4iET4WfHIIxQcySQ9AzU1NPLZ5ZfV1RQpiQRDEAqn\nk58djqEdH1taeF/Ko6ByHCz9PcZzkGmaDQAaRtm2bIR1bQDuGs+1BEEQrOR6z0cYC9dz7oJpUhAc\nP05PwYoVTDxMp3ViYyrFkkZl0INBoKFBN21S1NdTTESj3NbdzQmUL75Iw+926zHUAHMRFMEgRUAi\nwTLK+++nkEilKBBKSni8w8EqiHAYuOkm638P6XUgCMJ1gXgShLEwezaN+9y5/JxI0LPg9TKxMZWi\ncFBhCDVG2udjpYRqolRbS4O/ejXXvfQSvRXhML0Dzz7L47JbPquQRjRKEaASGg8coDDx+Xhel4vC\nweHQCZKrVzOvwmpELAiCMKORpMWJcT3mLhgGPQCOi0MMVq7UosDpBD74gK7/jg4a9GBQz4nYswd4\n4AEunU49Olp5C+65R4chSksZ7hjWIQDf+ha31dfrhEZAC4pgkOcNBilaVPJkIsF8h5Lh9YoWIGJB\nEIQZiSQtWsf1KBjmzWPyYSqlcwp27dLbKytpvO12flZhgdJS5jCUlnLqpJrfsGULjfmKFdxPGf55\n8/Q5FGrEdWMjP2ePsFaNmKJRnsfh4LmiUd6DywX85CfW/x4iFgRBmFGISBCsxOOhF8DnoyEOhZhA\n2NZGD0IsphMY02l6A44c0XkNPh9DD9l5COk02zl7vXrWRHY1RCajx1B3dWlBkEhw3c6dXCYSFAiR\nCPdTIuTee63/HUQsCIIwIxCRMLlcL94F9R17e7l0uzkYKhJhzkJ7Ow17VZUeE+310qCrPgsff8ww\nwWOP0YBv3jy0H0O2N6KkBDh8mAJA0dOjR1evWUPvBMBrhcP0Tjz5JBMea2p4DdU+GpicMIRV7Z4F\nQRCuOlLZcPW5HqojSkspCOJxioBNm2ikt23TT/mZjO6R4HQyR0GFIGZdfAxXHgeVk9DRwaXXC9x3\nHz0Khw/Ty5BdIglQJDQ3Uxio8EJ9PfB3f8fSSpeL99PWxvP09FA4JBLAe+9Z/5uIZ0EQhGmJVDZc\nfa6n37mqikb8nXcoDtQEyEhkaI5BOKyFxZYt9ER8+ctDQxFdXTymqorr/H4a+cOHGcr43vd0h0hA\nz5f46U+5XLWKXowlS3j9DRt0n4W6OgqNmhqd7HjihPW/h3gWBEGYVmSLBOHaMFO9C+rfVn8/jbLP\nx/yE5maKgAMH9LpYjEmOAA35gw/qvISDBykCVNhATaqMRPg5laJwOHgQeOUVbsvusxCN0guxaxfF\nhaqG6O3lOYqK2M8B4H04nXz5/UyAXLWKVRFWIp4FQRCmBZKTMDWYyb+9+m5eLz0Fx44Bhw5x3YYN\nzFno7dVNkNrbua22loY6c3HYo0p8jMe5PhTS4sNm47nsdnouysuZk5DtWfB6mXfw7W/z8549FBiF\nhQx1ALpro2pHnUjwHIHA1JkNIQiCcNUQkTD1mKnJjurfWjoNnD7NdX19NPjqSf34cZ1IuHEjBUM8\nTkPtdtNYx2IMQ1RXM1yQyXAdMFRg/PjHbAmd3ZAJ0F6C22+nl6G3l+KktJRCQokVgCJi7Vp9bCIB\n/PEfW/7TSBhCEISpiSQvTm1mWito9e8tN1cnFDocFAb792uDvHQpcw22bqXHQOUXtLXxGBWSOHqU\noYING/ik/9JLFAZPPaVHTT/3HLBoEVBRMfReHn2U6woKKDTWrmXPBtXnwe/nvSUSFA6RiE5yfP11\nNn6yGvEsCIIwpZC2zNOLmeRhME02SSoooBFWLv/+fhrmY8eYt7BkCV3/ymOQyXBbaSmweDFDBJs2\n0XvQ2kph0dTEMEZjI70QTievVVZGYaGmUgLAz37GfIjCQi0Gdu3iPYRC9EQ89xzPceSIni+xaRNn\nR6gSTisRsSAIwpRARML0Y6b8N8r2kHz8MY00wCRDn4/eA5VkmC0g3G5WIths+qlfVSYkEvQyuFy6\nh4Iy5DYbBcCDDzLJ8dw5XkexYwfw8su6Q2Nbmw59dHVxed99vEYgQE9DbS2Pc7uBkyet/41ELAiC\ncM0RkTB9mQn5C9n/5lTvhJ4ePsGXltJgr1vHZMRAgE/zq1ZxPzVa+oUXuIzH6VlwOnVPhhUrdB7C\nnj1Dp1YODPD9nj36Hmpq9ITLaJTlkSqJsqZGl2+Gw8CrrzIvIh7nttpa6bMgCMIMQ5IXZwbTXTBk\n3/vgoC5R3LUL+Jd/oVE/doyfQyEa57/5G910KRBgOKGri0Z/zRrtCYhE+EokaOw3baJwSKdp2H0+\nIC9Pl1cCPHdjI0VFIMBR1sGgnhXhcPBYm43dIRsaeF9qcNXChdb/RiIWBEG46ohImHlMZ8GQ/W9w\nYIBJjk4n3fp3363bLbtcOhmxooJP9z4f+zBkMjT4DQ3A97/PxMYnnmBOg8NBD4HHoz0RKq/gnXd4\n3uZmfQ/f/S6Xu3dTfFRUMBQRCOjchmBQN4eKxbjN5eL2u+6y/jcSsSAIwlVjeF6CMPOYroJBUVHB\nckmVWNjZSfd+a6seEtXby7wANQBqxQp6DZqbuZ/HQ9Fw4AC7MEajNOaqCgKgpwGg96CmZug9OJ3M\nWbDb2YDJ7WZFhMtFj0I4zGv7fBQHNhuvHQ7r8ddWI2JBEISrguQlzHzUf9fpLBi6u+lZSCZptD0e\nnXy4eDETIKuq2HjJZmNoIBSi0VYCQyVBPvkkh0kBWhw4HDpkAFAEPP00cyQA5kUkEizRXLuW19i3\njz0bgkHtmVDNntJpLv1+3ckxFLL+dxGxIAjCpCLehOuL6SgYsu+1uBiYP5/VDqtWUQQkEnyqLyyk\nEQdowAGGH+rr+cSfTutx0bW1LJnMrnLw+4G9e+lliET02Onz53V44Te/4bKsjEmTdjv3b2igN2HD\nBgoIv1+HMjwefgZ4XslZEARh2iClkNcv00kwDL/HM2d0ZYHTyXDD3r00yKWlehR0Xx+FwooV7J+g\nOjX6fDw+GGRYoLpaT5v0eLT3Qb38fuArX9H7fO1rXHZ1UbAoYjG+Wlt1rkIiwTBGaSk9HJEIPRfz\n5ln/O4lYEATBckQkCNNBMIyUZKtaL8didOcPDOichfZ2XQGRm0vD39wMPPQQn/xVvwPV/TEcZuii\nqko/+QPcVlOjz9XRQZEC6HbQpaVsJ+3z0XOxfj2bRWWTSrG7pN1OceHzMT/iww+t/Z0AEQuCIFiM\nVDkIiqksGEZrVW23s3zS7aanIDeXT+zV1UxuVB6AJUtonGMxPt07HDTeyivh97MzY0UF9wkGOZXS\n7aZBBzjSGmAraIU61m5nJcQLL9Br0NhI0VFdrYUIwNBIJKLLNQMB4KabrP+9RCwIgmAJ2eWQgqCY\niv8eLideXC7g5pt1k6SBAYqC1lbgRz+icfb5KBxeeIFP/9EoDbwaFqXEwKZNFBfZXgVl0AFdOQGw\n6gEA/uEfKCzUuQoLKRzsdnoi1GjqcFh3lywt5XlVzsT8+RP8gUZAxIIgCBNCeiYIn8RU7MGQ/W81\n+96iUeYp3HcfPy9dqhsshUL6CT4vj2OkVd5AMMiqhkRCt2Ret449D5R4yGQoCvbs4TqXi6WZnZ26\nrHLlSoYy1q9nIyjVrMnn4/G1tbobpKKjg94GJSgmo92zTJ0UBGHcSJWDcKVMlSmVn3QPOTlDn8yP\nHqWHIJFg2GD7dq632xlSyEZNmVThiF27KA5CIeA739H7bdhAgdDYeOnQJ7dbd2/8+td5XeVlWLGC\n51XiBWDDqGSS4kMlXH7wwdh+kytBPAuCIIwZ8SYI40EJhmvlZRhNKGTnVqhSRzVR0m6nsf+bv6GB\n7+ig6//f/k3nDrS06BbMDQ06HOBysczR46HRVzkOra06kbK6WpdmAgxD/OQnTFxMpXh+m43XaG7W\njZk2bOD+NTX0RtjtFAqRCPD229b/diIWBEEYE+JNECbCVE16VEKipoa9Dg4fZmigro6G+OhR4Etf\n4r7RKPBf/yuwejWbKalOisEgRYMqofR4aPh9Php/YGh3RdU/YfVqntswgD/9U7Z7fuklio7161kh\nUVND0RAOc4plUxNDEakU92tro6hxOIDPfc7630fEgiAIV4R4EwSrmKqCAeATfH4+OymuXs35DIrv\nfpe9D7xeGummJoYZ2tp0U6ZoVIcnFi9mbkMsxgZNkQgTFkMheghUiWV5OfCzn/F3ee01nkMlMqrh\nUarZE0CREI1SnEQivJ+6Oi5VlYTViFgQBOETEW+CYDVXWzB8Uq6CCpFEIsDtt7PCoKBAJw0q8VBZ\nyf3b2igs3G52W/R4dLMkgILANPmU73Yz7ODzca6E16vDEMq4K6/F+fP0ODgcFAdeL4+vqaEwiUYp\nVAIBPR9CncfpZJKlhCEEQbiqiDdBmEyulmC40sRKtc/Bg3T919UBx4/z/f3382k/k6FhLiujAa+t\n5TGtrRQVKgRRX88Ex1//eug14nF6BiIRegbcbjZ1+tGPeJ/FxfQ4hMM8/+7dDHX4/QxDdHRQJCQS\nFAYq2VHlKzQ18RxWI2JBEIQREW+CcDWYaiEJn4+G2+Hgk/rcuTToNhu3u9001pmMDgV0dLAcMhBg\njkEopFs9nz9PL4RKeCwvZ2hBJSgC9B6sW8ffIi+P65JJ5jksXszPKhxRVcVr7N1LgdLZyfuMRHjv\nfj9w4oT1v4uIBUEQLkGEgnA1UZ6ryaqUGMu/46IiVh2oZXGx7qiovAgbNzIBMhCgN6GqSs+MiMdp\n/J1OCoO8PE6PdDi4LZVieKGpietUWaSio4MJjGVlfNls7Lewfz8FCsDzrFpF74LHM7QhUzisGzxZ\niYgFQRB+j4QdhGvJZHgZxnIuw2Ciod9P17/NxpkN0SinTKpx1aEQExYTCYYbVChAjZ8GuO7YMT16\nuqWF+69apRstpVIMH6RSwIEDXJeby+t6vRQOmQzHYRcU6HMlEjrJUeUs2O26x4OEIQRBmDTEmyBM\nBa5lWMI0Wa3gdPLp3OtlOOC112iAm5robQAYdnA6gW9/W0+jTKV4zN699BiUl3PfUIjnUwbe5eK+\nDnpvVvIAACAASURBVAdFhfJYGAbPVV/Pz1VVurLC5eI1lDgIBnmt2lqeB9Deiltusf63EbEgCIJ4\nE4QpRXZYYiKMp2NkJsMn954e4PnngXvu4dN+QQFd/Cokcfgw99u4UQ+Eqq2lGKipYfKh+i4ql0Hl\nNwAUCU4njwmHOebaNIHuboYZWlooDFQPhbIyHh8K8Tg1uGrHDp7P4dCVEpPR7lnEgiBcx8jwJ2Eq\nM9E8hvH8u162TLdrnj+fA6OWLWOTJL+fRrytjcOmABr9hgY++QeDwMsv63Nt2wZ88YvcFo/T29Df\nz/1qauilUCWPilOnuFyzhsLA6+UMCoCehkyGA6ycTp5P5Se0tHCbwyEjqgVBsBAJOwjTgYmEJcZz\nTE0Nl4sXMwTg8bCUsqmJBrm0lCWVZ84w3LBhA70Dqo/CY49RDAAUFz4fKxlUO+eNG+ktcDrpbUil\n6KHIJpGgkAgEeI1kkkmRmQzvqa6OlRaqQmPvXt73ihXAE0/IiGpBECxAkhiF6cZ4qiXG6zF76ing\nlVeAN96gMa6pAT76iNvWrKHRbmmhmKis1J0WX3iBgiAWo3hoatLjp1UTpliM+9ntFAQ7d1JsPPEE\nkyEBegpaWrhvczMTIvv7h1Y4OJ08v93O/VTOQijE3g7iWRAEYUKIN0GYzozFyzDef+N/+IcMP9x8\nM41vNMpz7d8PfP/77GvQ28t9u7poqINBVkeEw/QCbNjA952drKJQVFYypKCSFP1+9kq4/36dDKlm\nTbjdfK/GWw+fcLl6Na+9ahUFTTRKUeJyTU41hIyoFoTrBBEKwkwg28MwGf+Wf/pTYNEihgfOn6cg\n+IM/oOsf4FO/oqZG5xsEg7p0ccMGhiuWL9frS0sZRrDZmOcQi/G938/wwbFjWjBs2AA8/DDDFQBD\nF5EIkyZbWykIOjt5LdWMKRDQoQ6phhAEYVyIUBBmElcSlphIJUVxMQ35XXfpGQ67dzNcUFoKbNpE\nY6+EgnrKB2jgOzv5lH/gAI17URFDBjYbxUckQk+BmiWRnbNgmgwxFBZyezzO0EUsxvOuW8d1jY26\nl4PDoUs6d+4Efv7z8X/30RCxIAgzHMlPEGYqlwtLTOTf+/vvsxESQCN95gwnUR44QGOvEhibm/k0\n39TEz62tNPCPPEIhsXw5hcDhw7pxU2kpcx+yeyb4/dqroD7H46x+qKpiaMNmY5mkym2or+fx6j57\neni9FSuAe+8d/3cfDQlDCMIMRbwJwvXAcMFgxb/3OXN0WeLixex9UFVFkVBaSu+Bw0HvQDhMj8PS\npdwHYHgglWKy4RNPsFpBJSgqb4IaFqVEBEBjD3AC5Z/+Kd/X17MpVCBA4dHQoEMPtbX0NOzaxX13\n7eK2jz+e+G8wHPEsCMIMRISCcL1hVSMngPMcenr4RH/mDD+raZJeL1+ALoG8/349H0LNaABY3njs\nGMVGTw8Nfm2tFgoARccPfzj0+m43EyPTaXoTzp4FnnuO22prmSsRi1G87NrFqotwmOEKgOETqxGx\nIAgzDAk7CNczVgiG3/2OoYOyMhrlc+e4vrqaVQdOp27t7HIx8TCd5nYlKlQL5/JyioWaGm4PBvVA\nKYCeh23bhl5/YIAJjgcP8lwrV1JAtLRokbFiBZfxOMMfK1awIqOtTYdJrETCEIIwg5BujIIw8b+B\nQIBGuL2dXoVEgutaWykGmpvpEdi7V5c/BgIMEdhs+qlf5SR861tMkNy9m2EIh4MVD+XlFAp+vw5B\nAOyr4HBwe20tz9XeztyIzZt5DaeT57v7bgoJFZqoq5MR1YIgXAYRCoJgDa+/TrFQU0OvQnGx9iLE\nYjTuAOdFxOPsx6DGRAN8yvf5dJVDOAw8+yxDFf39XNfaym6P6lxq6iQAvPUWvQipFI/dt489Gjo7\nGRrxenk9l4vCQYkSh2NoaaeViFgQhGmOzHcQhEtRVQPjJT9fhyDUREmAIYWWFoYIyso4fbK+nh4A\nlc+gqiRWr+YxNpsOH1RWDk1sjMf1NkVnJ3DoEK8VjeqciSef5DX379cJlkrE+Hz0NtTUAG++ObHv\nPhIiFgRhGiOJjIIwMosXT+z4U6c4RConhwZdTYesqKBBXrCAZZS//CXXNzbqEdRqZoMqh8xk9Hm9\nXnoeHI6h3gfVGhpgSOLsWV6ro4NiIJnUnou1a3kddb1wmMJGTaS88caJffeRELEgCNMUEQqCMDoT\n/bswDODf/53LqiqKATX8qaWF3R19Pt1TQeU5ZDIUEeGw9m4kk9p7EI3qTovA0ImTimPHgD/5E4qO\nsjJ6GkpKKIAyGXozAgEea7frCZTKq7Fw4cS++0hIgqMgTEMk7CAIk4vXSwOclwe8+qqe9bBzJ7ff\nfrveNxLha9MmnYOwejX3X76chl55G/bto4FPpykcXn4ZeOihS6/f1aU9Gh6Pnhnh87GqorOT25W3\noaCAlRUHDgydR2EV4/IsGIbxDcMwjhuG0W8YxiHDMD5/hcetMQzjgmEY/894risIgjV15IIw05no\n30l+Phsp9fQAy5YB27ezKiGZpMFWhjq7qVI4rBsybd9OoXDsmBYQXV0sg1RllQCNe309jb+ivJzX\nPn2aggXQzaBCIVZEqMRKgKGMrVuZMAnwOKsZs1gwDOOrAH4AYCuAzwFoB/CaYRiOTzjuZgB/B6B1\nHPcpCAIk9CAIV8pE+y2cPMm8hQULKBjUOOh339UGPJOhEff5uFy9mkKgrQ3YsoVCoLOTYqG9nceE\nQhQdXi9FQjjMV3bOwoED7N0wbx4/FxXxWoEA78PtZigC4HmiUT0COxzWx1nJeDwLjwN43jTNl03T\nPApgPYA+AI+MdoBhGLMA7AbwNwCOj+dGBeF6R5otCcLYmMjfyvz5/Jvr69OVEE8/TS/DkSPMYzh8\nmEY8kaARb22l0X7pJe1ZWL6c6z74gKKiooLnSqUY0vD79fkVSjgkk7zWkSN8n0hQEPh8WkBEoxQm\nkYgOdXz2s+P/3qMxJrFgGEYugCUAXlfrTNM0AfwCwBcuc+hWAB+bpvk/x3OTgnC9IzkKgjB2JuJZ\n6Ojg39yFC6x+SKcpBpJJhhIOHmSrZVWRoDo4ulxsuNTVxRDEsWNcf889PO/hw/Q8qBHVjY26T4Ki\nvJzXuvlmiouSEoqTlhZWUoRCFC7r11OkNDXx+pkMyypLS8f/vUdjrJ4FB4DZAJLD1icBjJDTCRiG\n8X8A+HMAfzHmuxOE6xzpoSAI42eioYjSUuYuBIM0xNXVwPHjehx1YyPw4osMJ2QyNPAeD6dK1tQw\nBKFeR4/yOJeL+QVqRLXXS49B9tRJJTDcboqKX/+aQqG3lx4Er1fnUKgmUXY7hURdnZ4RYSVWVUMY\nAC7535lhGEUAfgJgnWma6bGe9PHHH4dN+VUuUl9fj/r6+vHepyBMGyQ/QRCuHWfO0IugXPpuN5e5\nuUNDBxUVFAgrVlAItLYyn2DTJoYf1q1jtYOaL1FVxfCDOp/ff2lTJoDi4ehRnQxZU6M7NPb2UnAE\ng8DZs4148slGfPwxt509C/zud5lLTzhBxioWUgAGAZQMW78Al3obAOAWADcD+N+G8Xt9NwsADMM4\nB6DcNM1RcxieeeYZ3HHHHWO8RUGYOYhQEISJobwL4/1bisdppB0OeggGBuhR6OjgUzygKxkaG5k/\nEArxuOpqrleeh2iUy/XrKRCyyyyz6eyk6OjtZRlkXx+FgctFb8eWLZxDAQAffVSPVavqsX8/7yeV\nAj7zmV/hm99cMr4vPApjCkOYpjkA4DCAL6p1F0XAFwG8NcIh7wP4AwC3A6i8+NoH4ODF9/8+rrsW\nhBmOhB4E4dphGAw/uFz0COTnMxfA4wEWLaJQSKf5JO/z0VPwwgusVnj4YVY+RCL0DuzaRUNvszFU\n4PdTVLS0UFDs2MGcg+z21B4Pj3/9dfZ5WLSIDZlKS4fmKAD0OPj9bN6USvF+fvQj63+T8VRD/D2A\nRw3DeMgwjMUAdgKYC+DHAGAYxsuGYXwfAEzTPGea5nvZLwCnAfzONM33TdM8b83XEISZgeQoCIL1\njCd34fx5zma47TZ+rqri0357Ow1zVRWNvctFT0FZGfcrK9OeiF276F3w+ZjwqEZMZzI08pEIBYDf\nPzRnobOT4YfWVt0zIXnRd6/mVHi9+joeDz8DDIHcffd4f6nRGbNYME2zCcBfAfgugLcBVAC4zzTN\n/7i4iwujJDsKgjA6kqMgCJPLlQqG/HzOV+jrA95+m/kLAI37vffS8IdCfMpXg6BUAqTXq6sRqqsp\nAlwu7ldQwDyEp5+mcFBVE8Hgpfegwg4AJ1VWVfF6qltkKkWR0dJCUeF0UmCsWQP80R9N9Je6lHF1\ncDRNs8E0TbdpmgWmaX7BNM1w1rZlpmmO2nPBNM0/N03zj8dzXUGYqYhQEITJ5Ur/tmbNYl4CwLLJ\nc+eADz+kIa6tZWJiKsWQQ2EhKxmcTjZuampiy+WuLhry1laGFzwe5h+sXUsh0tREQdHUxERI1eRJ\nsXw5PQjhMM9dUEBRoKowVL7Czp30UAAULzt2UKB0dU3stxrxd7H+lIIgjAURCoJw9fgk74JpAnPm\n0KswOMjmR3Pm0DDv3MlcgZoaGmefj6IgEqEYWL0a2LaNAiEcZiUEQFHw9a9TWDgc3Ob18th4nGGM\n4bhceuR0MkmRooZOKbHgdgO7d3Nfr5f3s3078NZIGYQTRMSCIFxDRCgIwtXjk/7O1N/jrFk06jYb\nPQaDg1y/fr1u2+zxcB/VVKmqiuufeILdH6NRnbSYStHQR6MME6jSy2CQAiIeH5rgeOwY1yUSep9k\nkhMlYzEKFoeDS5eL+4TDOulxMhCxIAjXGBEKgnD1uJJkxzvvZNdEgPvOnUsjHY8Dq1bRkxCP63DE\nnj3MP2hqYk8Ft5tP+uXlfNXW0qjb7QwVqB4NyjPR3Dz0+tlDpTZtovhQkyuTSVZjRKM6P6K2Vldb\nBAJTZzaEIAgWIFUPgnBtGC4YsquQ8vLYyrmwkPkKpknju3Ejn/BffFFXQTgcDEesWcPQQDRKg604\ncIAvNeth927mLPh8PHbPHnoE1q8fWg3h8fBaqg/DyZMUA11dbMa0du3Q7xMOs5dD9gRMqxGxIAjX\nABEKgnBtGS4Y1OdZs1j+eOwYhcPs2cxHCIVovFeuZM+D3l56CDIjNEtcsYJhh3hcCwVVDVFTQyEA\n6OTEnTsvPcfq1VqUqGqMoiKGPZqb6V1wOnXJZDhMwbJnDz0RViNiQRCuMiIUBGFqMLy7o2lSLJw+\nzad5leRYXk7jvHw5qxKOHqWgSKf5xB+J0FMQCHAZidBou1zaY6CMeCRCD4TTyXP5/ZcmOKpBUtXV\nFBsqxJDd2KmujgJGnQugWFizhuWeViNiQRCuIiIUBGFqMdzD0NcHfPwxKyDmz2dOwDvvcNu2bcxH\nOHeOHgW7nQY7naaXobmZhtvhoBdAeRUAhh5WraK3QA2PamjQcyGGJzhGIsDmzdxnzhwmMapGTACv\no+6hupqfVQ+GDz+0/ncSsSAIVwkRCoIwNcn+u1SzC4uK2G/ho48YBqiq4hN9MklBcegQRcLKlTTY\nPp8eJrV7N0WF6rOgeP554MEH+d7ppBhwOoeKCoAJjuk0mzf5fPR0VFXRi6C8ES6XHkbV0ECRUFTE\nRMvhI6+tQMSCIFwFRCgIwtQl27PQ38/JkidOcHnDDbpJE0Cj7fOxHbTKV1DG2eWip8BuZ/WE6sDY\n2koj/sADTJAEdAKjClVkJzgq70NnJ7cXFdGDoQgG+VJeBjWZ0u9nRcZdd1n20/weEQuCMMmMtSe9\nIAjXjnPnKA5ycxmO6O4GFizQgkDNc1CJhSpHQeF0UlA8/bT2GLhcbJYEAN/5DvdxOnWvhV27WDWh\nWLeOhn/5cn6uq+M9dXQwsVL1U3A69XuHQwuR996z/ncRsSAIk4g0XRKEqc9IvRcGBykKTJONmUIh\neg1SKb4vK6P3IBzWQiJ7LPXmzXy1tmpPgWqitGMHPQuxmPYOZIciDhxg1YNqFd3Wxg6QqvFTJsPj\nEgkKCDVbIhBgqEKqIQRhGiFCQRCmD8MFw5w5TBQ0DDZEUu2Zn3qK3oa2NqCyUocSmpvpYWhqAvbt\nY+7D00/TS6BKJQ8eZD+ExYspOlR+RCIxtBGTxwNs2cJ1TU1c9+yzFAVr1+rWz6kURUt2jkImA/z2\nt9b/PiIWBGESEKEgCNMXw2AS4+zZ/Bs+cYKG2eMBvv1tICeHeQmvvaarGWIxvtR+igMHdHvnXbt0\nZ0ifj4Y9laI3YCTUIKnFixkeefZZXaZZXc1chfZ2rtu/n14Gt1s6OArCtECEgiBMT7L7LcyezeRG\ngNMn29r43uGgkGhrAxYtokB48kl2eLTZGGro7dWdHJcvp3iorWU4AmBYA6Bhr61l+GA4nZ0UGaEQ\n93c46KVIpykutm+np6GyksmTa9fq3g8ShhCEaYIIBUGYnqi/3cFB4NQpvr9wgcvNmxlKcDhYMllY\nyJBEXR2f7tVshrIy7pMdWmhsZLghP5+NkxIJGnYlIIaXTwaDFBper+4W+cADFA+BgBYjmYwOVaht\nk4GIBUGwECmRFISZQ24uX4ODFAZ+P70BeXnAm29SDFRU6EZMKumwrY37ejxMUty+ncY9GqU4CIX0\nMKlQ6NKZDq2tXD72GJdVVTzXvn28nsqB8PnozUilGJaoqGDuxMmT1v8WIhYEwSJEKAjCzED9HQ8M\n6Fdnpy5V7O0F7r6b+/T06J4JqmFSfj5FQWsrX6ppE8AGT4EAz2O3aw9Bdp+F6moKD9U/4cUXGeZI\npXi9lha+IhHmKdTW8joqqfKzn7X+NxGxIAgWIL0UBGFmMVz4DwzoMdOFhezkWFJC4dDSwn2Ut6C7\nm0uXiy+fj7MhAPZsiEQYXojFmG9QWzu0z4I6l8dD8XHnncALLwBf/jJDGKWlzFUIBul1CAZ1A6iG\nBl1KaSUiFgRhgkhCoyDMTNTfdlERn/x37OBn5Tmoq6Nw8PmYk/DUU0x2LC7WfRkALRjq64fmFLjd\n9Fio8yrKyykiWlt5jqIiCpP2duC551hWWV/P1tGvvEIvhRpT7XDIbAhBmLKIUBCEmYdKbOzr4zCp\nmhp6AwCKhJYWPWa6o4NllWVlDDWovgwAPQQqLyEU4jn8fhr2eJxiQAkLgF6GpiaGI/x+zqFYv565\nCG43cyB27tTHqG6SwSBDJTfdZP1vkWP9KQXh+kHyFARh5nPhAnsr7N7NhEeAfQ9UHkJbG0UCwDCF\ny6UTHJuaaMTXrOHS46HB37GD65qbOYBKdX4EWAURj+tR1ffdR2HQ08PwR0/P0JbTqZTuBJlITI5Y\nEM+CIIwTEQqCMPNRf+PpNLBpE8VAJMKwwEsvscJBJSt6vfQ2FBXR2Dc00JCn03oQVGcnPQs1Ncxj\n+P/bu//gqM87P+DvD7ZsCSkInddGRmoqQyXcRAl20FXYRULn/BI1g6c1Jah3tqe+I+ZwLsR3HPXF\nJdTJkeZ8nGO3lMHjgx4MjRQGTw4CtsjZHMEptjwCG0a1EZpghaw4CQvWAgkEAp7+8d7vfVcCLdrl\nK61Wer9mdpbdfXb3+/jr5fvh8zzP55k/nxd4bwUEwMxCeztXUhQWAm1tfL67m3MUCgq4ZDI/n4FH\naSn/XF3NyZCa4CgySihQEBk/JkzgBMdvfpMX/s9+lv/Cz8nhRXvnTgYA+/b5xZkKC4GXX+aFvLiY\ncxWqqzn5sbWVF/ecHAYe+fn9v2/ePGDVqv4BBACsXs3sRkWFv6tlJOIPcTQ2Ak8+CWzfPgz/DYL/\nSJGxTSsfRMYXb1OpjAwGDWfPsqZBdjZw5AizBd3dzBZs3MgAYsMGP0PQ2cn72loOZyxc2H8jqcrK\n/sMQzc1875IlDACqqoBFixiMzJnD4OBHP+LnFRczCPHe39mpzIJIymnlg8j41NbGQGHCBCAry1+e\nOGkSV0CEw7xQP/wwMwvd3ZyfsGqVXy8BYKahs5PZhIULeb9+ff9qjzNmMEh49VXeNzby9Q8+YDGo\nSIRzHrq6mEVYu5ZZiN27+39XkBQsiCRIgYLI+OP97q9e5aqES5dYY6GqihmGzExexLdu5YTHggJO\nbly+nIFEWZlfgOm113ifn+8XYIrV3My5EIWFDDqWLmXAUFjIjMKxY5wcWVbGwKWggHMisrOZbRgO\nWg0hMkQafhAZ37xtrC9e5ONTp3ih7+xk0BAKMSB47TUODyxaxHYrV3IiIuCvcli3ju/ZtYvBwLx5\n/vccP86aCfX1bPOd73DnyR/9iM+VlDBw8AKEmhp+7q5d/F7v+IKkYEFkCDT8ICIAJyV6u0Z+5jMc\nBujt9esvRCLAhQvMGuzfz4u6tzTyiSe43fUf/AHbeisnamv7BwvTpvG9oRA/x2sPMFjIy/OHQbw6\nDwADks5OZj2CpmEIkSFSoCAi5871f+xt6gQwUGho8HehBDh88PbbDBSysxkItLTwVlXFC3xFxbXl\nnjdu9P+8ezezFOEwV2Fs3sxAwluqGQ7z+5qaGLRoi2qRFNAySRGJ9e1v+3/Oz+d8hdxcXvQLC1mW\nOfb1t9/2l1rGzk/wKjzGloYGmFUoL2cgsHEjgw+A2Yl77+X22ADf4xWGAjh3ITcX2LEj0O4CULAg\nEpcCBREZ6OWXeX/uHP9VD/hbTRcU8HEk4i+JXLCAGQXAHzaoqODySoDDCl7g4AmFOIlx9WoGBV7N\nhZYWBgwtLXyPt4lUKMSJj1u29A8ggqI5CyKD0IRGEYmnr48X6aws3tfXM8uwcKHfZv9+BhGlpQwg\nysp48W9v51yGujpmHLxgAvB3q/Sea23lZ1RWss6C9xkA5yd4yzbLy7mSYvLk4PuqzILIdWhCo4jE\n4/3d0NrKYYLFi5khCIc56bGxkfUTAL7mbUvd2MghCa9Q04oVfDyQt6/Egw/633P8uN82N5ffM20a\nV0F4Acn69SrKJDKiFCiISDxFRfyX/aZNXArpbSZ19Cgv7l5J5vZ2XshbWvh6JOKvnqivZ0YgtiiT\ntzKirIyByLZtzEKEw/5chuJiZjBKS9nOG3ooLQUOHAi+rwoWRAbQ8IOIDMXHH/P+1CkGDnv2cDji\nzjt57w0XNDbyX/4VFf5wQW4ug4eGBn5G7DBEczMzBOEwK0euWcOgA2AwEBtYtLZytQTADENdHTB9\nevB9VbAgch3KKojIUDgHnD/PMsyXLzMQ6OvjRMdFixgcdHdzKKKwkAWburqA555jMaWSkmsrOM6Y\n0b9sc1sbMxBvv80sRUUFn+/s9IdBIhEWd1q8mBUmg6ZgQSSGVj+ISDIuXeI+EYcPA7Nn88LuFVbq\n7GSbadO4GVVREV9bu5bzHPbtY4DgeeMNrpRYsoSPvW2ta2r4WTt3cknmsmX+6gpvPkRT0/DUWdBq\nCJEoBQoikgyvDPTEidwi+pVXeMH2hg5On2a9hLVrmRWor+ceEvfdxyCgqooBgjdXYdo04IUXgB/8\ngMMOBQV+MOBlHDZu9AsxFRQwW1FUxPaHDgXfR2UWRKB5CiJyc4qKOHdh0yb/OW/zp9Wrefv0U9ZC\nyMzk0EFVFScutrT0L8q0bRuzCWVlzEx0dXFIw5sD0dDAapAAMw01NZwDEQoxePjww+D7p2BBJEpZ\nBRFJljfZMRLhvILeXj5ubOTEw7VrgXvu4UW+qIgBQEuLP/ExtijTqlW8r61lMJCby0mO06b5ZZ8B\nDkMUFfGza2o4NNHaCkydGnz/FCzIuKfhBxEJwsSJDAIAZhQ6O3kB7+7m8MDmzXyuqIgXdW/L6rff\nvnY1RH29v1Kiq4vBwP79XCWRkcHn16zhMs2qKravrOR3aTWESMAUKIhIUHp6eH/lCi/4y5bxcUEB\ng4MnnmDGoaHBvy8t5WqH2OWQx49zbkJ5OTMV3d3MUFRW8vH69XxfXp5f+tn7rp4e4P33g++bggUR\nEZGAPPYY772LemEhsHcvg4WSEgYBq1dz9UR5OesiLF7cP7MwbZq/WyXAwGPRImYWvImOr73GYKO7\nm1mHNWv4+pQpwP33B98vBQsybimrICJB27IFuPVWTmKsrubwgLdcsriYkxDDYX9VRFVV/22uPfn5\nbJOXBzz1lL+RVGenP7/BqxhZX8/sRGXl9UtHB0HBgoiISIBuu42Zg/p6TmDs6wPefJPLJQE+19bG\nIQSAAcDAYYj2drYJhfwVFkuWcLhh7VpmFI4d4yZUkQiHJbZtY7ZiOChYkHFJWQURGS49Pbx5+zX0\n9QFf+ALw0ksswNTayte9DaWA/ksnAa6IWLGCWYSKCgYYb7zBDMOKFcCsWRxyKCtjxqKpyf+sHTuC\n75OCBRl3FCiIyHCbOhV4/nnON8jI4L/+ly1jJmD+fD4GOO+gtbX/0slp01iQae1aZie6uphh8OYx\nrF3LQKSjw6/RsHgxP7+pCXjkkeD7o2BBREQkYOEwA4K2NmYW8vKYIcjNZd2FS5eYGSgv55DCQN6+\nEq2t3POhrIzzGPLymFl47TW+H+BnAsw6FBQMT38ULMi4oqyCiIyU8+d5X1rKoKCtjZmEoiKWhe7u\nZiagtLT/agiAF/7eXgYLGzYwe+BNhAyHgbfeYhCxdy8/t66Or9fUAD/9afB9UbAg44YCBREZSd7f\nNwcOcDihoICTGpuamCUAmD3whiQ83gTHnh7gW9/ic94wRSjE17ZtY4VHgMFGaSkDitpaVXAUERFJ\nKzk5wMWLXEq5cydw5AiDhvZ2v2xzW9u17+vsBB59lO2KitjWCxjy8/nnhgYOcYRCvHV3c6hj1AQL\nZva0mX1sZhfM7F0z+904bf/IzPab2Zno7R/itRcZDsoqiEgqnDvHv3vOnuWFfcoUvyT0iRPckTIc\n7r900huSaG31t51uamK7fftYT6G+nu+5fJnP7d7N7MKuXWwbtISDBTP7BoC/AbAawP0ADgPYY2ah\nQd4yF8BPAFQBmA3gtwB+YWZ3J3PAIolSoCAiqZSRAZw8CVy9yg2ncnOZOXjwQb6WmelvT+3ZISTa\nUgAAE0RJREFUuZNzEnJzmZ0oLWWWobSU1RpDIeB73+M2196cCIArJObMCb4PyWQWngHwinNui3Pu\nKIClAM4DePJ6jZ1zjznnNjjnjjjnjgH4o+j3fjnZgxYREUkXly5xv4hbb2Vw0NDAi31pKSdBhkKs\noeBZv55ZiOefZw0Fb7XEokV8T00NhyF27/aHMkIhVowsKWHGImgJBQtmlgFgFoC3vOeccw7AmwAe\nGOLHZAPIAHAmke8WSYayCiIyGsydy10p+/qYBejs5FDC7NkMALyLPsAL/6OP+u16erjHxLZtHGKY\nN4/vBRiIRCJst2EDcPjw9Zdi3qxEMwshALcAGFh9ugNA/hA/468AtIEBhoiIyJj31lucn3D6NC/o\nTU3Ap59yXgLAYMDT2MjS0MuWMVuQnc3n8vPZfvlyBhiRCDBpEss+e9UiZ84cnuO/NaDPMQA3/Peb\nmT0LYBGAuc65Szdq/8wzzyDXqzYRVVNTg5qammSPU8YRZRVEZDSZPp1zFgBmC77+dQ5J7NvnX+wB\nVngEOOyQlcVgIT+fAYb3Wns7y0f/yZ/U4syZWqxbx+GHvDzg4sWuwI890WChE8AVAFMGPH8Xrs02\n9GNmKwCsBPBl59z/G8qX/fjHP8aXvvSlBA9RRERk9Glu5j9iensZBAAcPiguZhnnJUv4XFMTcPAg\nhyK8FQ6FhXx+1y5OfPRWR3z+8zUAajB/Pp9rbQUuXz6EkydnBXrsCQ1DOOf6ABxEzOREM7Po4wOD\nvc/M/hzAcwC+7px7P7lDFRk6ZRVEZDTKzeXqh3CYwwcPP8znn3vObxOJMHMQiTCIADgfobra/7O3\no+WRI34J6VB0TeKkScEfdzKrIV4E8E0ze9zM7gWwAcBEAH8HAGa2xcx+6DU2s5UAfgCuljhhZlOi\nt+ybPnqR61CgICKjVW0tA4HsbA5J/OxnDAq2bfPb1NT4QURbGwOCHTsYYCxdyiJNK1YweDh7lu07\nOjicAVy7g2UQEg4WnHPbAPwZgO8DeB/AF8GMwSfRJoXoP9nxj8HVD9sBnIy5/Vnyhy0iIpJ+5s0D\nzpzh8MPly361xVWr/DaNjcC77/LPK1ZwH4nmZj6ure0/vyEUYqbhD//Q39FyOCRVwdE5t945V+Sc\ny3LOPeCca4x57SHn3JMxj+9xzt1yndv3g+iASCxlFURktOvrY2XHUIhLH0+evHZb6cmTOVkxHOY2\n1CtXct5CRYU/dyEc5nO5ucDGjcwu/PCHwDe+Efwxa28IERGREfaZzzBI6OsDbrut/2t/+ZessdDa\nyiCgrY1FmGI3jpo/n/MZeno49yESYSnp734X+PWvgz9eBQsyZiirICLp4vRpFk86fdrfK8JTXe1X\nbpwyhQFBfj4zDbt2+e0qKph1KCnhbpYdHQwgTp4M/ngVLMiYoEBBRNLRHXcAt9zSf65BdzewfTv/\nXFbGgGHjRg4/lJVxiWVhIQOD3l6upIit2rh8efDHqWBBREQkBY4e5RDDhAnAunX+83v3sg7D8ePM\nHCxdyqxBTg4zDK++ykmQeXksF11dzaGJ7Gx+3tKlwR9rUBUcRUREJAEzZgAFBcwKxG5RXVLCC/+C\nBZyLEA4zu9DRwWGIoiJOjmxqYgAxaRKDhVCIwcRddwF1dcEeqzILkvY0BCEi6eqhhzhnIXYY4dgx\nBghNTZy70N7OYKGkhMMVXvGlFSs4LBEKsQ3A4YrJk4M/TmUWREREUmTLFmDPHuDUKT42Ax57jMMJ\nAIchurq4PBJgAOHVWQiHgU2buJrilVc4j6G8HHj99eCPU5kFSWvKKohIulu8uP/jtjbuDVFQwI2m\njh7l/ITiYr7u7VBZV8ehisJC3ryqjyUlwR+jggVJWwoURGQsePnl/n+X5eRw2GHPHi6JzMzk852d\nbBsOcyVETg7nNADMKOzbx8zD/fcHf4wKFkRERFLMjDcA2LmTQQLA4YfeXhZoCoWAxx/n8889x/0i\n8vKA997jawUFDCi8zaeCpDkLkpaUVRCRscQ5P1j44hc54XH2bE52zMzkRMbt24GFCzmZcf16tg2F\n2Laxkaskqqr8+Q9BUrAgIiIyCngBQ1YW5yzcfjuXQmZlcYjhN7/h46YmBgmdnQwSvv1tvr+6Gli2\njMMTQdMwhKQdZRVEZCzyMgstLcCVK0BGBrMF4TCfnzuXWYVIhEFDJAK88ALvGxq4d8STTwKf/Wzw\nx6ZgQUREZBSI/UfQ1KnAhQscYujrY02F3FxmE7q6OC+hooLDEXl5XAnR0MDhihMngj82BQuSVpRV\nEJGx7soVBgXnzvHxxYvAhg2cvwCwnHNFBVBZyazCpk3MRpSXc1hizpzgj0nBgoiIyCjhHDMHZ88y\nIDh+nHMQyspYAjoUYkDQ3g6sXMn3TJ3Ktnl5fPzmm8Efl4IFSRvKKojIeDBxIv++O3CA1RlnzGCm\noaqKEx337WMmYf58Dj3k5QFr1/LPLS3AV74S/DFpNYSIiMgo0tPDbasB4NIlBgqRCIOEUIgVHysr\ngUWLOPTQ1cX7SIQZhr17gz8mBQuSFpRVEJHx5O67gU8+AaZNA5qbWeq5ooKv1dVx98mFCxlIAAwU\njh1jluHs2eCPR8GCiIjIKBMO+0MRX/sahxe2b+drXiGmffs4n2HHDuDWWzmvAei/g2VQFCzIqKes\ngoiMR7m5LMz0y18yKJgxg0MQdXX+pMfOTmD5cuDpp5ldaG0dnqJMChZERERGoU8/5T+WbruNBZkO\nHgQ2bmRNhc5OYOtWDjtMmQL86lfMOGRmApMnB38sWg0ho5qyCiIynuXksO5Cdzdwxx1cPtnSwpUP\n1dUMFJYuZUnovDyumPDmNgRJwYKIiMgo9eKLfpGmrCwOQ1RUACUlzCQAnN/grYiorwd+/evgj0PB\ngoxayiqIyHi3ZAnv29tZ/rmpiXMWurr8NnV13BMCYFno6dODPw7NWRARERnFMjKAe+7xay0AQGkp\n73NzOQzx7LPMMDz8MHD6dPDHoMyCjErKKoiIkBlLPHtmzuQQRFkZ0NbG12bO9GsxaNdJERGRcebi\nRc5bOHaMW1YXFzNAeP55vyhTRQXQ0cE/f/hh8MegYEFGHWUVRET6Kynh/cGDwLp1XB2xeTOHHLyh\nib4+Vnb84IPgv1/BgoiIyCjX3Mz7jAzeqqqANWuAp54CCgo4AXLWLM5fmDEj+O9XsCAiIpImTp1i\ngNDYyGGJ7ds5LNHSwmzDs88Cd94Z/PcqWJBRRUMQIiLX5xxw/jywaRPLOpeXA7/5DR97hZiKijTB\nUUREZFx77DFg0iQOO4RCwIIFvLW3A/v3s83rrwf/vQoWZNRQVkFEJL62NuDIEWYYtm4Fdu9m/YXG\nRuB73+NQxKefBv+9ChZk1FCgICIS31tvcWOp224Ddu4ELl3i8x0dnLdw8KAmOMoYZ5bqIxARSQ+9\nvcCDDwITJzLbMGUKJzree69fbyFIChZkVNAQhIjI0Fy8yFtWFnD5Mks/5+Yys9DRwUmOQVOwICIi\nkmamT+ekxkmTuF11Wxu3qG5rY9AQNAULknLKKoiIJKa5Gbh6Ffjtb1ndsaqKEx1XrRqe71OwICIi\nkoaKi4Hbbwf27mU2wdsz4o47gv8uBQuSUsoqiIgk56WXuISyvZ3VHAHOWcjKCv67FCyIiIikoXnz\neJ+VxSGIrVs5JDEcwcKtwX+kyNAoqyAicnN+53c4ydGzZw9w7lzw36PMgoiISJrq7eU+EdXVXA0x\ne7Y2kpIxRlkFEZGb09PD+7o6bizV0QGcORP89yhYkJRQtUYRkeBMnszyz4CKMomIiMgAznGPiPPn\ngexs4MSJ4L9DwYKIiEiaO3mSKyIKCoC77gr+8xUsyIjTKggRkWBNngxkZHCSo7cTZZC0dFJERCTN\ndXTwH2L19dy+OmjKLKRYbW1tqg9hRHj9HOtZhfF2Psc69XNsGev9zMkBMjN5C1pSwYKZPW1mH5vZ\nBTN718x+9wbt/6OZfRRtf9jM5iV3uGPPWP+f1+P1cywHCsD4O59jnfo5toz1fp47Bxw5Anz0UfCf\nnXCwYGbfAPA3AFYDuB/AYQB7zCw0SPsHAPwEwKsA7gPw9wD+3sw+l+xBS/rSkkkRkeFTUDB6hiGe\nAfCKc26Lc+4ogKUAzgN4cpD2ywG84Zx70TnX7JxbDeAQgG8ldcSStsb6EISISKrdcQerOgYtoWDB\nzDIAzALwlvecc84BeBPAA4O87YHo67H2xGkvIiIiSTh8mNtWBy3R1RAhALcA6BjwfAeAGYO8J3+Q\n9vlxvicTAD4ajoGXUaarqwuHDh1K9WEMu5//vAsHDx7CWO/qeDmf6ufYon6OLc7987UzsKmO5hLI\nC5vZ3QDaADzgnGuIef4FAHOccw9e5z0XATzunPtpzHPLAPxX59zUQb7nPwH4P0M+MBERERno951z\nPwnigxLNLHQCuAJgyoDn78K12QNPe4LtAQ5T/D6AVgDDMPoiIiIyZmUCKAKvpYFIKLMAAGb2LoAG\n59zy6GMDcALA/3DO/fV12tcByHLOPRLz3P8FcNg5t+xmDl5ERESGXzIVHF8EsNnMDgJ4D1wdMRHA\n3wGAmW0BEHbOfTfa/mUAvzSzPwWwG0ANOElyyc0duoiIiIyEhIMF59y2aE2F74PDCx8A+Lpz7pNo\nk0IAl2Pav2NmNQDWRG8tAB5xzn14swcvIiIiwy/hYQgREREZX7Q3hIiIiMSlYEFERETiSkmwMJ42\nokqkr2b2hJldNbMr0furZnZ+JI83UWZWYWY7zawterwLhvCeKjM7aGa9ZnbMzJ4YiWO9GYn208zm\nxpzDqzHn9a6ROuZkmNlfmNl7ZnbWzDrM7GdmVjKE96XVbzSZfqbp73Np9Hx0RW8HzKz6Bu9Jq3Pp\nSbSv6Xg+B4r+f3zVzF68QbubPqcjHiyMp42oEu1rVBdY3dK7/cvhPs6blA1Ocn0awA0nwJhZEYBd\nYMnwmeBqmb81s68O3yEGIqF+RjkAxfDP5d3OuVPDc3iBqQDwPwGUA/gKgAwAvzCzrMHekKa/0YT7\nGZVuv8/fAvgv4Aq0WQD2AthhZv/6eo3T9Fx6EuprVLqdz38W/YfnEvC6Eq9dMOfUOTeiNwDvAng5\n5rEBCANYOUj7OgA7Bzz3DoD1I33sI9DXJwCcSfVx30R/rwJYcIM2fwXgyIDnagG8nurjD7ifc8EC\nZpNSfbw32ddQtL9z4rRJ299ogv1M699nTD9OA/jPY/VcJtDXtD2fAHIANAN4CMA/AngxTttAzumI\nZhZsHG1ElWRfASDHzFrN7ISZpUtEn4jZSMPzmSQD8IGZnTSzX5jZNeXQ08BkMENyJk6btPyNDjCU\nfgJp/Ps0swlmthisi/POIM3Gwrkcal+B9D2f/wvAz51ze4fQNpBzOtLDEPE2ohpsY6lkNqIaDZLp\nazO41fcCsNz1BAAHzKxguA4yBQY7n5PMbBj2SkuZfwLwFIBHAfwHMEW6z8zuS+lRJcDMDMBLAH7l\n4tdFSdffKICE+pmWv08zKzWzcwAuAlgP4N87544O0jzdz2UifU3X87kYHE74iyG+JZBzmkwFx+Fg\nGPo4cDLtR5NBj9059y44dMGGZu8A+AjAN8F5D2OVRe/T9Zxewzl3DMCxmKfeNbPpYMXTUT+hM2o9\ngM8B+LdJvDedfqND6mca/z6PgvODJoPB6xYzq4xzER0onc7lkPuajufTzArBwParzrm+m/koJHhO\nRzpYGKmNqEaDZPraj3Puspm9D+BfBXxsqTTY+TzrnLuUguMZSe8huQvviDOzdQD+HYAK59w/3aB5\nuv5GE+1nP+ny+3TOXQZwPPrwkJn9GwDLAfzxdZqn7bkEEu7rNe9Ng/M5C8CdAA5GM2IAM9iVZvYt\nALdHh7tjBXJOR3QYIhoJHQTwZe+5aIe/DODAIG97J7Z91FcRfxwq5ZLsaz9mNgFAKZjSHiuudz6/\nhlF+PgNyH9LgXEYvoI8A+D3n3IkhvCUtf6NJ9HPg+9P19zkBwGBDfml5LuOI19d+0uR8vgngC+Df\nJTOjt0YAWwHMvE6gAAR1TlMwi3MRgAsAHgdwL4BXwBmrd0Zf3wLghzHtHwBwCcCfApgB4L+B21Z/\nLtUzUoehr6uiJ/EecKllLYAeAPemui9x+pgd/R/2PnA2+Xeij/9F9PX/DmBzTPsiAN3gqogZAJZF\nz+9XUt2XgPu5HBwLnQ7g82DqsA9AVar7coN+rgcQAZcWTom5Zca02Zzuv9Ek+5mOv881AOaASwJL\no/+fXgbwUPT1sfT3baJ9TbvzOUi/+62GGK7fZ6o6twxAK3ghfQdAWcxrewFsGtD+UXAs6gKAI+DG\nVSk/SUH3FdzR8+No25MAfg7gi6nuww36Nxe8eF4ZcNsUff1/A9h7nfccjPazBcBjqe5H0P0E8OfR\nvvUA+ARcFVOZ6n4MoZ/X6+MVAI/HtEn732gy/UzT3+ffgmn5C2A6+heIXjzHyrlMtq/peD4H6fde\n9A8WhuWcaiMpERERiUt7Q4iIiEhcChZEREQkLgULIiIiEpeCBREREYlLwYKIiIjEpWBBRERE4lKw\nICIiInEpWBAREZG4FCyIiIhIXAoWREREJC4FCyIiIhLX/wfSbkaY5c/aOgAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f29597a2b38>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "n=1000\n", "plt.plot( np.repeat(4/n*np.arange(n),n), ax.T.flatten(),'.',markersize=.1 ) " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 1 }
gpl-3.0
ajaybhat/DLND
Project 1/Project-1.ipynb
1
479418
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Your first neural network\n", "\n", "In this project, you'll build your first neural network and use it to predict daily bike rental ridership. We've provided some of the code, but left the implementation of the neural network up to you (for the most part). After you've submitted this project, feel free to explore the data and the model more.\n", "\n" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ " %matplotlib inline\n", " %config InlineBackend.figure_format = 'retina'\n", "\n", "import numpy as np\n", "import pandas as pd\n", "import matplotlib.pyplot as plt\n", "import math" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Load and prepare the data\n", "\n", "A critical step in working with neural networks is preparing the data correctly. Variables on different scales make it difficult for the network to efficiently learn the correct weights. Below, we've written the code to load and prepare the data. You'll learn more about this soon!" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "data_path = 'Bike-Sharing-Dataset/hour.csv'\n", "\n", "rides = pd.read_csv(data_path)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>instant</th>\n", " <th>dteday</th>\n", " <th>season</th>\n", " <th>yr</th>\n", " <th>mnth</th>\n", " <th>hr</th>\n", " <th>holiday</th>\n", " <th>weekday</th>\n", " <th>workingday</th>\n", " <th>weathersit</th>\n", " <th>temp</th>\n", " <th>atemp</th>\n", " <th>hum</th>\n", " <th>windspeed</th>\n", " <th>casual</th>\n", " <th>registered</th>\n", " <th>cnt</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>2011-01-01</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>6</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0.24</td>\n", " <td>0.2879</td>\n", " <td>0.81</td>\n", " <td>0.0</td>\n", " <td>3</td>\n", " <td>13</td>\n", " <td>16</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>2011-01-01</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>6</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0.22</td>\n", " <td>0.2727</td>\n", " <td>0.80</td>\n", " <td>0.0</td>\n", " <td>8</td>\n", " <td>32</td>\n", " <td>40</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>3</td>\n", " <td>2011-01-01</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>6</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0.22</td>\n", " <td>0.2727</td>\n", " <td>0.80</td>\n", " <td>0.0</td>\n", " <td>5</td>\n", " <td>27</td>\n", " <td>32</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>4</td>\n", " <td>2011-01-01</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>6</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0.24</td>\n", " <td>0.2879</td>\n", " <td>0.75</td>\n", " <td>0.0</td>\n", " <td>3</td>\n", " <td>10</td>\n", " <td>13</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>5</td>\n", " <td>2011-01-01</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>6</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0.24</td>\n", " <td>0.2879</td>\n", " <td>0.75</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " instant dteday season yr mnth hr holiday weekday workingday \\\n", "0 1 2011-01-01 1 0 1 0 0 6 0 \n", "1 2 2011-01-01 1 0 1 1 0 6 0 \n", "2 3 2011-01-01 1 0 1 2 0 6 0 \n", "3 4 2011-01-01 1 0 1 3 0 6 0 \n", "4 5 2011-01-01 1 0 1 4 0 6 0 \n", "\n", " weathersit temp atemp hum windspeed casual registered cnt \n", "0 1 0.24 0.2879 0.81 0.0 3 13 16 \n", "1 1 0.22 0.2727 0.80 0.0 8 32 40 \n", "2 1 0.22 0.2727 0.80 0.0 5 27 32 \n", "3 1 0.24 0.2879 0.75 0.0 3 10 13 \n", "4 1 0.24 0.2879 0.75 0.0 0 1 1 " ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "rides.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Checking out the data\n", "\n", "This dataset has the number of riders for each hour of each day from January 1 2011 to December 31 2012. The number of riders is split between casual and registered, summed up in the `cnt` column. You can see the first few rows of the data above.\n", "\n", "Below is a plot showing the number of bike riders over the first 10 days in the data set. You can see the hourly rentals here. This data is pretty complicated! The weekends have lower over all ridership and there are spikes when people are biking to and from work during the week. Looking at the data above, we also have information about temperature, humidity, and windspeed, all of these likely affecting the number of riders. You'll be trying to capture all this with your model." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x10c418550>" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABBoAAALzCAYAAAC/R2QvAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n/wAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10c418c90>" ] }, "metadata": { "image/png": { "height": 377, "width": 525 } }, "output_type": "display_data" } ], "source": [ "rides[:24*10].plot(x='dteday', y='cnt')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Dummy variables\n", "Here we have some categorical variables like season, weather, month. To include these in our model, we'll need to make binary dummy variables. This is simple to do with Pandas thanks to `get_dummies()`." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>yr</th>\n", " <th>holiday</th>\n", " <th>temp</th>\n", " <th>hum</th>\n", " <th>windspeed</th>\n", " <th>casual</th>\n", " <th>registered</th>\n", " <th>cnt</th>\n", " <th>season_1</th>\n", " <th>season_2</th>\n", " <th>...</th>\n", " <th>hr_21</th>\n", " <th>hr_22</th>\n", " <th>hr_23</th>\n", " <th>weekday_0</th>\n", " <th>weekday_1</th>\n", " <th>weekday_2</th>\n", " <th>weekday_3</th>\n", " <th>weekday_4</th>\n", " <th>weekday_5</th>\n", " <th>weekday_6</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0.24</td>\n", " <td>0.81</td>\n", " <td>0.0</td>\n", " <td>3</td>\n", " <td>13</td>\n", " <td>16</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0.22</td>\n", " <td>0.80</td>\n", " <td>0.0</td>\n", " <td>8</td>\n", " <td>32</td>\n", " <td>40</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0.22</td>\n", " <td>0.80</td>\n", " <td>0.0</td>\n", " <td>5</td>\n", " <td>27</td>\n", " <td>32</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0.24</td>\n", " <td>0.75</td>\n", " <td>0.0</td>\n", " <td>3</td>\n", " <td>10</td>\n", " <td>13</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0.24</td>\n", " <td>0.75</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>5 rows × 59 columns</p>\n", "</div>" ], "text/plain": [ " yr holiday temp hum windspeed casual registered cnt season_1 \\\n", "0 0 0 0.24 0.81 0.0 3 13 16 1 \n", "1 0 0 0.22 0.80 0.0 8 32 40 1 \n", "2 0 0 0.22 0.80 0.0 5 27 32 1 \n", "3 0 0 0.24 0.75 0.0 3 10 13 1 \n", "4 0 0 0.24 0.75 0.0 0 1 1 1 \n", "\n", " season_2 ... hr_21 hr_22 hr_23 weekday_0 weekday_1 weekday_2 \\\n", "0 0 ... 0 0 0 0 0 0 \n", "1 0 ... 0 0 0 0 0 0 \n", "2 0 ... 0 0 0 0 0 0 \n", "3 0 ... 0 0 0 0 0 0 \n", "4 0 ... 0 0 0 0 0 0 \n", "\n", " weekday_3 weekday_4 weekday_5 weekday_6 \n", "0 0 0 0 1 \n", "1 0 0 0 1 \n", "2 0 0 0 1 \n", "3 0 0 0 1 \n", "4 0 0 0 1 \n", "\n", "[5 rows x 59 columns]" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dummy_fields = ['season', 'weathersit', 'mnth', 'hr', 'weekday']\n", "for each in dummy_fields:\n", " dummies = pd.get_dummies(rides[each], prefix=each, drop_first=False)\n", " rides = pd.concat([rides, dummies], axis=1)\n", "\n", "fields_to_drop = ['instant', 'dteday', 'season', 'weathersit', \n", " 'weekday', 'atemp', 'mnth', 'workingday', 'hr']\n", "data = rides.drop(fields_to_drop, axis=1)\n", "data.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Scaling target variables\n", "To make training the network easier, we'll standardize each of the continuous variables. That is, we'll shift and scale the variables such that they have zero mean and a standard deviation of 1.\n", "\n", "The scaling factors are saved so we can go backwards when we use the network for predictions." ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "quant_features = ['casual', 'registered', 'cnt', 'temp', 'hum', 'windspeed']\n", "# Store scalings in a dictionary so we can convert back later\n", "scaled_features = {}\n", "for each in quant_features:\n", " mean, std = data[each].mean(), data[each].std()\n", " scaled_features[each] = [mean, std]\n", " data.loc[:, each] = (data[each] - mean)/std" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Splitting the data into training, testing, and validation sets\n", "\n", "We'll save the last 21 days of the data to use as a test set after we've trained the network. We'll use this set to make predictions and compare them with the actual number of riders." ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Save the last 21 days \n", "test_data = data[-21*24:]\n", "data = data[:-21*24]\n", "\n", "# Separate the data into features and targets\n", "target_fields = ['cnt', 'casual', 'registered']\n", "features, targets = data.drop(target_fields, axis=1), data[target_fields]\n", "test_features, test_targets = test_data.drop(target_fields, axis=1), test_data[target_fields]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We'll split the data into two sets, one for training and one for validating as the network is being trained. Since this is time series data, we'll train on historical data, then try to predict on future data (the validation set)." ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Hold out the last 60 days of the remaining data as a validation set\n", "train_features, train_targets = features[:-60*24], targets[:-60*24]\n", "val_features, val_targets = features[-60*24:], targets[-60*24:]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Time to build the network\n", "\n", "Below you'll build your network. We've built out the structure and the backwards pass. You'll implement the forward pass through the network. You'll also set the hyperparameters: the learning rate, the number of hidden units, and the number of training passes.\n", "\n", "The network has two layers, a hidden layer and an output layer. The hidden layer will use the sigmoid function for activations. The output layer has only one node and is used for the regression, the output of the node is the same as the input of the node. That is, the activation function is $f(x)=x$. A function that takes the input signal and generates an output signal, but takes into account the threshold, is called an activation function. We work through each layer of our network calculating the outputs for each neuron. All of the outputs from one layer become inputs to the neurons on the next layer. This process is called *forward propagation*.\n", "\n", "We use the weights to propagate signals forward from the input to the output layers in a neural network. We use the weights to also propagate error backwards from the output back into the network to update our weights. This is called *backpropagation*.\n", "\n", "> **Hint:** You'll need the derivative of the output activation function ($f(x) = x$) for the backpropagation implementation. If you aren't familiar with calculus, this function is equivalent to the equation $y = x$. What is the slope of that equation? That is the derivative of $f(x)$.\n", "\n", "Below, you have these tasks:\n", "1. Implement the sigmoid function to use as the activation function. Set `self.activation_function` in `__init__` to your sigmoid function.\n", "2. Implement the forward pass in the `train` method.\n", "3. Implement the backpropagation algorithm in the `train` method, including calculating the output error.\n", "4. Implement the forward pass in the `run` method.\n", " " ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [], "source": [ "class NeuralNetwork(object):\n", " def __init__(self, input_nodes, hidden_nodes, output_nodes, learning_rate):\n", " # Set number of nodes in input, hidden and output layers.\n", " self.input_nodes = input_nodes\n", " self.hidden_nodes = hidden_nodes\n", " self.output_nodes = output_nodes\n", "\n", " # Initialize weights\n", " self.weights_input_to_hidden = np.random.normal(0.0, self.hidden_nodes**-0.5, \n", " (self.hidden_nodes, self.input_nodes))\n", "\n", " self.weights_hidden_to_output = np.random.normal(0.0, self.output_nodes**-0.5, \n", " (self.output_nodes, self.hidden_nodes))\n", " self.lr = learning_rate\n", " \n", " \n", " def train(self, inputs_list, targets_list):\n", " # Convert inputs list to 2d array\n", " inputs = np.array(inputs_list, ndmin=2).T\n", " targets = np.array(targets_list, ndmin=2).T\n", " \n", " ### Forward pass ###\n", " hidden_inputs = np.dot(self.weights_input_to_hidden,inputs) \n", " hidden_outputs = self.activation_function(hidden_inputs)\n", " \n", " final_inputs = np.dot(self.weights_hidden_to_output, hidden_outputs)\n", " final_outputs = final_inputs\n", " \n", " ### Backward pass ###\n", " output_errors = targets-final_outputs\n", " output_grad = output_errors\n", " \n", " hidden_errors = np.dot(self.weights_hidden_to_output.T,output_errors)\n", " hidden_grad = self.activation_function_derivative(hidden_inputs)\n", " \n", " self.weights_hidden_to_output += self.lr*np.dot(output_grad,hidden_outputs.T)\n", " self.weights_input_to_hidden += self.lr*np.dot(hidden_errors* hidden_grad,inputs.T)\n", " \n", " def activation_function(self,x):\n", " return 1/(1 + np.exp(-x))\n", " \n", " def activation_function_derivative(self,x):\n", " return self.activation_function(x)*(1-self.activation_function(x))\n", " \n", " def run(self, inputs_list):\n", " # Run a forward pass through the network\n", " inputs = np.array(inputs_list, ndmin=2).T\n", " \n", " hidden_inputs = np.dot(self.weights_input_to_hidden,inputs)\n", " hidden_outputs = self.activation_function(hidden_inputs)\n", " \n", " final_inputs = np.dot(self.weights_hidden_to_output,hidden_outputs)\n", " final_outputs = final_inputs\n", " \n", " return final_outputs" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def MSE(y, Y):\n", " return np.mean((y-Y)**2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Training the network\n", "\n", "Here you'll set the hyperparameters for the network. The strategy here is to find hyperparameters such that the error on the training set is low, but you're not overfitting to the data. If you train the network too long or have too many hidden nodes, it can become overly specific to the training set and will fail to generalize to the validation set. That is, the loss on the validation set will start increasing as the training set loss drops.\n", "\n", "You'll also be using a method know as Stochastic Gradient Descent (SGD) to train the network. The idea is that for each training pass, you grab a random sample of the data instead of using the whole data set. You use many more training passes than with normal gradient descent, but each pass is much faster. This ends up training the network more efficiently. You'll learn more about SGD later.\n", "\n", "### Choose the number of epochs\n", "This is the number of times the dataset will pass through the network, each time updating the weights. As the number of epochs increases, the network becomes better and better at predicting the targets in the training set. You'll need to choose enough epochs to train the network well but not too many or you'll be overfitting.\n", "\n", "### Choose the learning rate\n", "This scales the size of weight updates. If this is too big, the weights tend to explode and the network fails to fit the data. A good choice to start at is 0.1. If the network has problems fitting the data, try reducing the learning rate. Note that the lower the learning rate, the smaller the steps are in the weight updates and the longer it takes for the neural network to converge.\n", "\n", "### Choose the number of hidden nodes\n", "The more hidden nodes you have, the more accurate predictions the model will make. Try a few different numbers and see how it affects the performance. You can look at the losses dictionary for a metric of the network performance. If the number of hidden units is too low, then the model won't have enough space to learn and if it is too high there are too many options for the direction that the learning can take. The trick here is to find the right balance in number of hidden units you choose." ] }, { "cell_type": "code", "execution_count": 65, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\n", "Progress: 0.0% ... Training loss: 0.949 ... Validation loss: 1.411\n", "Progress: 10.0% ... Training loss: 0.265 ... Validation loss: 0.441\n", "Progress: 20.0% ... Training loss: 0.243 ... Validation loss: 0.399\n", "Progress: 30.0% ... Training loss: 0.210 ... Validation loss: 0.358\n", "Progress: 40.0% ... Training loss: 0.177 ... Validation loss: 0.318\n", "Progress: 50.0% ... Training loss: 0.143 ... Validation loss: 0.273\n", "Progress: 60.0% ... Training loss: 0.123 ... Validation loss: 0.237\n", "Progress: 70.0% ... Training loss: 0.107 ... Validation loss: 0.212\n", "Progress: 80.0% ... Training loss: 0.103 ... Validation loss: 0.214\n", "Progress: 90.0% ... Training loss: 0.091 ... Validation loss: 0.193" ] } ], "source": [ "import sys\n", "\n", "### Set the hyperparameters here ###\n", "epochs = 2000\n", "learning_rate = 0.008\n", "hidden_nodes = 10\n", "output_nodes = 1\n", "\n", "N_i = train_features.shape[1]\n", "network = NeuralNetwork(N_i, hidden_nodes, output_nodes, learning_rate)\n", "\n", "losses = {'train':[], 'validation':[]}\n", "for e in range(epochs):\n", " # Go through a random batch of 128 records from the training data set\n", " batch = np.random.choice(train_features.index, size=128)\n", " for record, target in zip(train_features.ix[batch].values, \n", " train_targets.ix[batch]['cnt']):\n", " network.train(record, target)\n", " \n", " # Printing out the training progress\n", " train_loss = MSE(network.run(train_features), train_targets['cnt'].values)\n", " val_loss = MSE(network.run(val_features), val_targets['cnt'].values)\n", " if e%(epochs/10) == 0:\n", " sys.stdout.write(\"\\nProgress: \" + str(100 * e/float(epochs))[:4] \\\n", " + \"% ... Training loss: \" + str(train_loss)[:5] \\\n", " + \" ... Validation loss: \" + str(val_loss)[:5])\n", " \n", " losses['train'].append(train_loss)\n", " losses['validation'].append(val_loss)" ] }, { "cell_type": "code", "execution_count": 66, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(0.0, 0.5)" ] }, "execution_count": 66, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABCQAAALJCAYAAABsnPJvAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAACA4f4BFcOrb/0ODd8AAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10d68aa90>" ] }, "metadata": { "image/png": { "height": 356, "width": 530 } }, "output_type": "display_data" } ], "source": [ "plt.plot(losses['train'], label='Training loss')\n", "plt.plot(losses['validation'], label='Validation loss')\n", "plt.legend()\n", "plt.ylim(ymax=0.5)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Check out your predictions\n", "\n", "Here, use the test data to view how well your network is modeling the data. If something is completely wrong here, make sure each step in your network is implemented correctly." ] }, { "cell_type": "code", "execution_count": 67, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABYMAAAMGCAYAAACgX5vSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n9tZQrAAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1101d3890>" ] }, "metadata": { "image/png": { "height": 387, "width": 705 } }, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots(figsize=(8,4))\n", "\n", "mean, std = scaled_features['cnt']\n", "predictions = network.run(test_features)*std + mean\n", "ax.plot(predictions[0], 'r',label='Prediction')\n", "ax.plot((test_targets['cnt']*std + mean).values, 'g', label='Data')\n", "ax.set_xlim(right=len(predictions))\n", "ax.legend()\n", "\n", "dates = pd.to_datetime(rides.ix[test_data.index]['dteday'])\n", "dates = dates.apply(lambda d: d.strftime('%b %d'))\n", "ax.set_xticks(np.arange(len(dates))[12::24])\n", "_ = ax.set_xticklabels(dates[12::24], rotation=45)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Thinking about your results\n", " \n", "Answer these questions about your results. How well does the model predict the data? Where does it fail? Why does it fail where it does?\n", "\n", "> **Note:** You can edit the text in this cell by double clicking on it. When you want to render the text, press control + enter\n", "\n", "#### Your answer below\n", "\n", "The model predicts the data fairly well for the limited amount it is provided. It fails massively for the period December 23-28, because real-world scenarios indicate most people would not get bikes at that time, and would be staying home. However, the network predicts the same behavior as the other days of the month, and so it fails. This could be avoided by feeding it similar data of the type seen in the sample (as part of the training data).\n", "Another way is to experiment with the activation function itself: Sigmoid can be replaced by tanh or Leaky RelU functions. http://cs231n.github.io/neural-networks-1/" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Unit tests\n", "\n", "Run these unit tests to check the correctness of your network implementation. These tests must all be successful to pass the project." ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ ".....\n", "----------------------------------------------------------------------\n", "Ran 5 tests in 0.005s\n", "\n", "OK\n" ] }, { "data": { "text/plain": [ "<unittest.runner.TextTestResult run=5 errors=0 failures=0>" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import unittest\n", "\n", "inputs = [0.5, -0.2, 0.1]\n", "targets = [0.4]\n", "test_w_i_h = np.array([[0.1, 0.4, -0.3], \n", " [-0.2, 0.5, 0.2]])\n", "test_w_h_o = np.array([[0.3, -0.1]])\n", "\n", "class TestMethods(unittest.TestCase):\n", " \n", " ##########\n", " # Unit tests for data loading\n", " ##########\n", " \n", " def test_data_path(self):\n", " # Test that file path to dataset has been unaltered\n", " self.assertTrue(data_path.lower() == 'bike-sharing-dataset/hour.csv')\n", " \n", " def test_data_loaded(self):\n", " # Test that data frame loaded\n", " self.assertTrue(isinstance(rides, pd.DataFrame))\n", " \n", " ##########\n", " # Unit tests for network functionality\n", " ##########\n", "\n", " def test_activation(self):\n", " network = NeuralNetwork(3, 2, 1, 0.5)\n", " # Test that the activation function is a sigmoid\n", " self.assertTrue(np.all(network.activation_function(0.5) == 1/(1+np.exp(-0.5))))\n", "\n", " def test_train(self):\n", " # Test that weights are updated correctly on training\n", " network = NeuralNetwork(3, 2, 1, 0.5)\n", " network.weights_input_to_hidden = test_w_i_h.copy()\n", " network.weights_hidden_to_output = test_w_h_o.copy()\n", " \n", " network.train(inputs, targets)\n", " self.assertTrue(np.allclose(network.weights_hidden_to_output, \n", " np.array([[ 0.37275328, -0.03172939]])))\n", " self.assertTrue(np.allclose(network.weights_input_to_hidden,\n", " np.array([[ 0.10562014, 0.39775194, -0.29887597],\n", " [-0.20185996, 0.50074398, 0.19962801]])))\n", "\n", " def test_run(self):\n", " # Test correctness of run method\n", " network = NeuralNetwork(3, 2, 1, 0.5)\n", " network.weights_input_to_hidden = test_w_i_h.copy()\n", " network.weights_hidden_to_output = test_w_h_o.copy()\n", "\n", " self.assertTrue(np.allclose(network.run(inputs), 0.09998924))\n", " \n", " def runTest(self):\n", " pass\n", "\n", "suite = unittest.TestLoader().loadTestsFromModule(TestMethods())\n", "unittest.TextTestRunner(verbosity=1).run(suite)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.13" } }, "nbformat": 4, "nbformat_minor": 2 }
apache-2.0
EducationalTestingService/rsmtool
rsmtool/notebooks/summary/header.ipynb
1
7881
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Setting options for the plots\n", "%matplotlib inline\n", "%config InlineBackend.figure_formats={'retina', 'svg'}\n", "%config InlineBackend.rc={'savefig.dpi': 150}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Summary Report " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import itertools\n", "import json\n", "import os\n", "import re\n", "import pickle\n", "import platform\n", "import time\n", "\n", "from collections import defaultdict as dd\n", "from functools import partial\n", "from os.path import abspath, dirname, exists, join\n", "from string import Template\n", "\n", "import numpy as np\n", "import pandas as pd\n", "import seaborn as sns\n", "import scipy.stats as stats\n", "from matplotlib import pyplot as plt\n", "\n", "from IPython import sys_info\n", "from IPython.display import display, HTML, Image, Javascript, Markdown, SVG\n", "\n", "from rsmtool.utils.files import (get_output_directory_extension,\n", " parse_json_with_comments)\n", "from rsmtool.utils.notebook import (float_format_func,\n", " int_or_float_format_func,\n", " bold_highlighter,\n", " color_highlighter,\n", " show_thumbnail)\n", "\n", "from rsmtool.reader import DataReader\n", "from rsmtool.writer import DataWriter\n", "from rsmtool.version import VERSION as rsmtool_version\n", "\n", "# turn off interactive plotting\n", "plt.ioff()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "rsm_report_dir = os.environ.get('RSM_REPORT_DIR', None)\n", "if rsm_report_dir is None:\n", " rsm_report_dir = os.getcwd()\n", "\n", "rsm_environ_config = join(rsm_report_dir, '.environ.json')\n", "if not exists(rsm_environ_config):\n", " raise FileNotFoundError('The file {} cannot be located. '\n", " 'Please make sure that either (1) '\n", " 'you have set the correct directory with the `RSM_REPORT_DIR` '\n", " 'environment variable, or (2) that your `.environ.json` '\n", " 'file is in the same directory as your notebook.'.format(rsm_environ_config))\n", " \n", "environ_config = parse_json_with_comments(rsm_environ_config)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<style type=\"text/css\">\n", " div.prompt.output_prompt { \n", " color: white; \n", " }\n", " \n", " span.highlight_color {\n", " color: red;\n", " }\n", " \n", " span.highlight_bold {\n", " font-weight: bold; \n", " }\n", " \n", " @media print {\n", " @page {\n", " size: landscape;\n", " margin: 0cm 0cm 0cm 0cm;\n", " }\n", "\n", " * {\n", " margin: 0px;\n", " padding: 0px;\n", " }\n", "\n", " #toc {\n", " display: none;\n", " }\n", "\n", " span.highlight_color, span.highlight_bold {\n", " font-weight: bolder;\n", " text-decoration: underline;\n", " }\n", "\n", " div.prompt.output_prompt {\n", " display: none;\n", " }\n", " \n", " h3#Python-packages, div#packages {\n", " display: none;\n", " }\n", "</style>" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# NOTE: you will need to set the following manually\n", "# if you are using this notebook interactively.\n", "summary_id = environ_config.get('SUMMARY_ID')\n", "description = environ_config.get('DESCRIPTION')\n", "jsons = environ_config.get('JSONS')\n", "output_dir = environ_config.get('OUTPUT_DIR')\n", "use_thumbnails = environ_config.get('USE_THUMBNAILS')\n", "file_format_summarize = environ_config.get('FILE_FORMAT')\n", "\n", "# groups for subgroup analysis.\n", "groups_desc = environ_config.get('GROUPS_FOR_DESCRIPTIVES') \n", "groups_eval = environ_config.get('GROUPS_FOR_EVALUATIONS') \n", "\n", "# javascript path\n", "javascript_path = environ_config.get(\"JAVASCRIPT_PATH\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# initialize id generator for thumbnails\n", "id_generator = itertools.count(1)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "with open(join(javascript_path, \"sort.js\"), \"r\", encoding=\"utf-8\") as sortf:\n", " display(Javascript(data=sortf.read()))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# load the information about all models\n", "model_list = []\n", "for (json_file, experiment_name) in jsons:\n", " model_config = json.load(open(json_file))\n", " model_id = model_config['experiment_id']\n", " model_name = experiment_name if experiment_name else model_id\n", " model_csvdir = dirname(json_file)\n", " model_file_format = get_output_directory_extension(model_csvdir, model_id)\n", " model_list.append((model_id, model_name, model_config, model_csvdir, model_file_format))\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "Markdown(\"This report presents the analysis for **{}**: {} \\n \".format(summary_id, description))\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "HTML(time.strftime('%c'))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# get a matched list of model ids and descriptions\n", "models_and_desc = zip([model_name for (model_id, model_name, config, csvdir, model_file_format) in model_list],\n", " [config['description'] for (model_id, model_name, config, csvdir, file_format) in model_list])\n", "model_desc_list = '\\n\\n'.join(['**{}**: {}'.format(m, d) for (m, d) in models_and_desc])\n", "\n", "Markdown(\"The report compares the following models: \\n\\n {}\".format(model_desc_list))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "if use_thumbnails:\n", " display(Markdown(\"\"\"***Note: Images in this report have been converted to \"\"\"\n", " \"\"\"clickable thumbnails***\"\"\"))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%html\n", "<div id=\"toc\"></div>" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.7" } }, "nbformat": 4, "nbformat_minor": 1 }
apache-2.0
ES-DOC/esdoc-jupyterhub
notebooks/pcmdi/cmip6/models/sandbox-3/land.ipynb
1
173500
{ "nbformat_minor": 0, "nbformat": 4, "cells": [ { "source": [ "# ES-DOC CMIP6 Model Properties - Land \n", "**MIP Era**: CMIP6 \n", "**Institute**: PCMDI \n", "**Source ID**: SANDBOX-3 \n", "**Topic**: Land \n", "**Sub-Topics**: Soil, Snow, Vegetation, Energy Balance, Carbon Cycle, Nitrogen Cycle, River Routing, Lakes. \n", "**Properties**: 154 (96 required) \n", "**Model descriptions**: [Model description details](https://specializations.es-doc.org/cmip6/land?client=jupyter-notebook) \n", "**Initialized From**: -- \n", "\n", "**Notebook Help**: [Goto notebook help page](https://es-doc.org/cmip6-models-documenting-with-ipython) \n", "**Notebook Initialised**: 2018-02-15 16:54:36" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### Document Setup \n", "**IMPORTANT: to be executed each time you run the notebook** " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# DO NOT EDIT ! \n", "from pyesdoc.ipython.model_topic import NotebookOutput \n", "\n", "# DO NOT EDIT ! \n", "DOC = NotebookOutput('cmip6', 'pcmdi', 'sandbox-3', 'land')" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Authors \n", "*Set document authors*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set as follows: DOC.set_author(\"name\", \"email\") \n", "# TODO - please enter value(s)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Contributors \n", "*Specify document contributors* " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set as follows: DOC.set_contributor(\"name\", \"email\") \n", "# TODO - please enter value(s)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Publication \n", "*Specify document publication status* " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set publication status: \n", "# 0=do not publish, 1=publish. \n", "DOC.set_publication_status(0)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Table of Contents \n", "[1. Key Properties](#1.-Key-Properties) \n", "[2. Key Properties --&gt; Conservation Properties](#2.-Key-Properties---&gt;-Conservation-Properties) \n", "[3. Key Properties --&gt; Timestepping Framework](#3.-Key-Properties---&gt;-Timestepping-Framework) \n", "[4. Key Properties --&gt; Software Properties](#4.-Key-Properties---&gt;-Software-Properties) \n", "[5. Grid](#5.-Grid) \n", "[6. Grid --&gt; Horizontal](#6.-Grid---&gt;-Horizontal) \n", "[7. Grid --&gt; Vertical](#7.-Grid---&gt;-Vertical) \n", "[8. Soil](#8.-Soil) \n", "[9. Soil --&gt; Soil Map](#9.-Soil---&gt;-Soil-Map) \n", "[10. Soil --&gt; Snow Free Albedo](#10.-Soil---&gt;-Snow-Free-Albedo) \n", "[11. Soil --&gt; Hydrology](#11.-Soil---&gt;-Hydrology) \n", "[12. Soil --&gt; Hydrology --&gt; Freezing](#12.-Soil---&gt;-Hydrology---&gt;-Freezing) \n", "[13. Soil --&gt; Hydrology --&gt; Drainage](#13.-Soil---&gt;-Hydrology---&gt;-Drainage) \n", "[14. Soil --&gt; Heat Treatment](#14.-Soil---&gt;-Heat-Treatment) \n", "[15. Snow](#15.-Snow) \n", "[16. Snow --&gt; Snow Albedo](#16.-Snow---&gt;-Snow-Albedo) \n", "[17. Vegetation](#17.-Vegetation) \n", "[18. Energy Balance](#18.-Energy-Balance) \n", "[19. Carbon Cycle](#19.-Carbon-Cycle) \n", "[20. Carbon Cycle --&gt; Vegetation](#20.-Carbon-Cycle---&gt;-Vegetation) \n", "[21. Carbon Cycle --&gt; Vegetation --&gt; Photosynthesis](#21.-Carbon-Cycle---&gt;-Vegetation---&gt;-Photosynthesis) \n", "[22. Carbon Cycle --&gt; Vegetation --&gt; Autotrophic Respiration](#22.-Carbon-Cycle---&gt;-Vegetation---&gt;-Autotrophic-Respiration) \n", "[23. Carbon Cycle --&gt; Vegetation --&gt; Allocation](#23.-Carbon-Cycle---&gt;-Vegetation---&gt;-Allocation) \n", "[24. Carbon Cycle --&gt; Vegetation --&gt; Phenology](#24.-Carbon-Cycle---&gt;-Vegetation---&gt;-Phenology) \n", "[25. Carbon Cycle --&gt; Vegetation --&gt; Mortality](#25.-Carbon-Cycle---&gt;-Vegetation---&gt;-Mortality) \n", "[26. Carbon Cycle --&gt; Litter](#26.-Carbon-Cycle---&gt;-Litter) \n", "[27. Carbon Cycle --&gt; Soil](#27.-Carbon-Cycle---&gt;-Soil) \n", "[28. Carbon Cycle --&gt; Permafrost Carbon](#28.-Carbon-Cycle---&gt;-Permafrost-Carbon) \n", "[29. Nitrogen Cycle](#29.-Nitrogen-Cycle) \n", "[30. River Routing](#30.-River-Routing) \n", "[31. River Routing --&gt; Oceanic Discharge](#31.-River-Routing---&gt;-Oceanic-Discharge) \n", "[32. Lakes](#32.-Lakes) \n", "[33. Lakes --&gt; Method](#33.-Lakes---&gt;-Method) \n", "[34. Lakes --&gt; Wetlands](#34.-Lakes---&gt;-Wetlands) \n", "\n" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "# 1. Key Properties \n", "*Land surface key properties*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 1.1. Model Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of land surface model.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.model_overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.2. Model Name\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Name of land surface model code (e.g. MOSES2.2)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.model_name') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.3. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of the processes modelled (e.g. dymanic vegation, prognostic albedo, etc.)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.4. Land Atmosphere Flux Exchanges\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Fluxes exchanged with the atmopshere.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.land_atmosphere_flux_exchanges') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"water\" \n", "# \"energy\" \n", "# \"carbon\" \n", "# \"nitrogen\" \n", "# \"phospherous\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.5. Atmospheric Coupling Treatment\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the treatment of land surface coupling with the Atmosphere model component, which may be different for different quantities (e.g. dust: semi-implicit, water vapour: explicit)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.atmospheric_coupling_treatment') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.6. Land Cover\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Types of land cover defined in the land surface model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.land_cover') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"bare soil\" \n", "# \"urban\" \n", "# \"lake\" \n", "# \"land ice\" \n", "# \"lake ice\" \n", "# \"vegetated\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.7. Land Cover Change\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe how land cover change is managed (e.g. the use of net or gross transitions)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.land_cover_change') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.8. Tiling\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general tiling procedure used in the land surface (if any). Include treatment of physiography, land/sea, (dynamic) vegetation coverage and orography/roughness*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 2. Key Properties --&gt; Conservation Properties \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 2.1. Energy\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe if/how energy is conserved globally and to what level (e.g. within X [units]/year)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.conservation_properties.energy') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 2.2. Water\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe if/how water is conserved globally and to what level (e.g. within X [units]/year)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.conservation_properties.water') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 2.3. Carbon\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe if/how carbon is conserved globally and to what level (e.g. within X [units]/year)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.conservation_properties.carbon') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 3. Key Properties --&gt; Timestepping Framework \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 3.1. Timestep Dependent On Atmosphere\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is a time step dependent on the frequency of atmosphere coupling?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.timestepping_framework.timestep_dependent_on_atmosphere') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 3.2. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overall timestep of land surface model (i.e. time between calls)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.timestepping_framework.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 3.3. Timestepping Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of time stepping method and associated time step(s)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.timestepping_framework.timestepping_method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 4. Key Properties --&gt; Software Properties \n", "*Software properties of land surface code*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 4.1. Repository\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Location of code for this component.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.software_properties.repository') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 4.2. Code Version\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Code version identifier.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.software_properties.code_version') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 4.3. Code Languages\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Code language(s).*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.software_properties.code_languages') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 5. Grid \n", "*Land surface grid*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 5.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of the grid in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 6. Grid --&gt; Horizontal \n", "*The horizontal grid in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 6.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general structure of the horizontal grid (not including any tiling)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.horizontal.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 6.2. Matches Atmosphere Grid\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Does the horizontal grid match the atmosphere?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.horizontal.matches_atmosphere_grid') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 7. Grid --&gt; Vertical \n", "*The vertical grid in the soil*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 7.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general structure of the vertical grid in the soil (not including any tiling)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.vertical.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 7.2. Total Depth\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The total depth of the soil (in metres)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.vertical.total_depth') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 8. Soil \n", "*Land surface soil*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 8.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of soil in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.2. Heat Water Coupling\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the coupling between heat and water in the soil*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_water_coupling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.3. Number Of Soil layers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The number of soil layers*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.number_of_soil layers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.4. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the soil scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 9. Soil --&gt; Soil Map \n", "*Key properties of the land surface soil map*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 9.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of soil map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.2. Structure\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil structure map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.structure') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.3. Texture\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil texture map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.texture') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.4. Organic Matter\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil organic matter map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.organic_matter') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.5. Albedo\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil albedo map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.albedo') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.6. Water Table\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil water table map, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.water_table') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.7. Continuously Varying Soil Depth\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Does the soil properties vary continuously with depth?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.continuously_varying_soil_depth') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.8. Soil Depth\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil depth map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.soil_depth') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 10. Soil --&gt; Snow Free Albedo \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 10.1. Prognostic\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is snow free albedo prognostic?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.snow_free_albedo.prognostic') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 10.2. Functions\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *If prognostic, describe the dependancies on snow free albedo calculations*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.snow_free_albedo.functions') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"vegetation type\" \n", "# \"soil humidity\" \n", "# \"vegetation state\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 10.3. Direct Diffuse\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If prognostic, describe the distinction between direct and diffuse albedo*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.snow_free_albedo.direct_diffuse') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"distinction between direct and diffuse albedo\" \n", "# \"no distinction between direct and diffuse albedo\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 10.4. Number Of Wavelength Bands\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If prognostic, enter the number of wavelength bands used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.snow_free_albedo.number_of_wavelength_bands') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 11. Soil --&gt; Hydrology \n", "*Key properties of the land surface soil hydrology*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 11.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of the soil hydrological model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.2. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of river soil hydrology in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.3. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil hydrology tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.4. Vertical Discretisation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the typical vertical discretisation*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.vertical_discretisation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.5. Number Of Ground Water Layers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The number of soil layers that may contain water*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.number_of_ground_water_layers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.6. Lateral Connectivity\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Describe the lateral connectivity between tiles*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.lateral_connectivity') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"perfect connectivity\" \n", "# \"Darcian flow\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.7. Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The hydrological dynamics scheme in the land surface model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Bucket\" \n", "# \"Force-restore\" \n", "# \"Choisnel\" \n", "# \"Explicit diffusion\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 12. Soil --&gt; Hydrology --&gt; Freezing \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 12.1. Number Of Ground Ice Layers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *How many soil layers may contain ground ice*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.freezing.number_of_ground_ice_layers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 12.2. Ice Storage Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the method of ice storage*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.freezing.ice_storage_method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 12.3. Permafrost\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the treatment of permafrost, if any, within the land surface scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.freezing.permafrost') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 13. Soil --&gt; Hydrology --&gt; Drainage \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 13.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General describe how drainage is included in the land surface scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.drainage.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 13.2. Types\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Different types of runoff represented by the land surface model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.drainage.types') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Gravity drainage\" \n", "# \"Horton mechanism\" \n", "# \"topmodel-based\" \n", "# \"Dunne mechanism\" \n", "# \"Lateral subsurface flow\" \n", "# \"Baseflow from groundwater\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 14. Soil --&gt; Heat Treatment \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 14.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of how heat treatment properties are defined*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.2. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of soil heat scheme in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.3. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil heat treatment tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.4. Vertical Discretisation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the typical vertical discretisation*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.vertical_discretisation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.5. Heat Storage\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Specify the method of heat storage*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.heat_storage') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Force-restore\" \n", "# \"Explicit diffusion\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.6. Processes\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Describe processes included in the treatment of soil heat*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.processes') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"soil moisture freeze-thaw\" \n", "# \"coupling with snow temperature\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 15. Snow \n", "*Land surface snow*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 15.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of snow in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the snow tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.3. Number Of Snow Layers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The number of snow levels used in the land surface scheme/model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.number_of_snow_layers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.4. Density\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of snow density*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.density') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"constant\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.5. Water Equivalent\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of the snow water equivalent*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.water_equivalent') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.6. Heat Content\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of the heat content of snow*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.heat_content') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.7. Temperature\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of snow temperature*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.temperature') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.8. Liquid Water Content\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of snow liquid water*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.liquid_water_content') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.9. Snow Cover Fractions\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Specify cover fractions used in the surface snow scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.snow_cover_fractions') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"ground snow fraction\" \n", "# \"vegetation snow fraction\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.10. Processes\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Snow related processes in the land surface scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.processes') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"snow interception\" \n", "# \"snow melting\" \n", "# \"snow freezing\" \n", "# \"blowing snow\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.11. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the snow scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 16. Snow --&gt; Snow Albedo \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 16.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the treatment of snow-covered land albedo*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.snow_albedo.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"prescribed\" \n", "# \"constant\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 16.2. Functions\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *If prognostic, *" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.snow_albedo.functions') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"vegetation type\" \n", "# \"snow age\" \n", "# \"snow density\" \n", "# \"snow grain type\" \n", "# \"aerosol deposition\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 17. Vegetation \n", "*Land surface vegetation*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 17.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of vegetation in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.2. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of vegetation scheme in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.3. Dynamic Vegetation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is there dynamic evolution of vegetation?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.dynamic_vegetation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.4. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the vegetation tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.5. Vegetation Representation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Vegetation classification used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.vegetation_representation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"vegetation types\" \n", "# \"biome types\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.6. Vegetation Types\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *List of vegetation types in the classification, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.vegetation_types') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"broadleaf tree\" \n", "# \"needleleaf tree\" \n", "# \"C3 grass\" \n", "# \"C4 grass\" \n", "# \"vegetated\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.7. Biome Types\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *List of biome types in the classification, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biome_types') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"evergreen needleleaf forest\" \n", "# \"evergreen broadleaf forest\" \n", "# \"deciduous needleleaf forest\" \n", "# \"deciduous broadleaf forest\" \n", "# \"mixed forest\" \n", "# \"woodland\" \n", "# \"wooded grassland\" \n", "# \"closed shrubland\" \n", "# \"opne shrubland\" \n", "# \"grassland\" \n", "# \"cropland\" \n", "# \"wetlands\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.8. Vegetation Time Variation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *How the vegetation fractions in each tile are varying with time*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.vegetation_time_variation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"fixed (not varying)\" \n", "# \"prescribed (varying from files)\" \n", "# \"dynamical (varying from simulation)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.9. Vegetation Map\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If vegetation fractions are not dynamically updated , describe the vegetation map used (common name and reference, if possible)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.vegetation_map') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.10. Interception\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is vegetation interception of rainwater represented?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.interception') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.11. Phenology\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Treatment of vegetation phenology*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.phenology') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic (vegetation map)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.12. Phenology Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of vegetation phenology*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.phenology_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.13. Leaf Area Index\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Treatment of vegetation leaf area index*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.leaf_area_index') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prescribed\" \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.14. Leaf Area Index Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of leaf area index*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.leaf_area_index_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.15. Biomass\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Treatment of vegetation biomass *" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biomass') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.16. Biomass Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of vegetation biomass*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biomass_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.17. Biogeography\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Treatment of vegetation biogeography*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biogeography') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.18. Biogeography Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of vegetation biogeography*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biogeography_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.19. Stomatal Resistance\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Specify what the vegetation stomatal resistance depends on*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.stomatal_resistance') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"light\" \n", "# \"temperature\" \n", "# \"water availability\" \n", "# \"CO2\" \n", "# \"O3\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.20. Stomatal Resistance Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of vegetation stomatal resistance*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.stomatal_resistance_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.21. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the vegetation scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 18. Energy Balance \n", "*Land surface energy balance*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 18.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of energy balance in land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the energy balance tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.3. Number Of Surface Temperatures\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The maximum number of distinct surface temperatures in a grid cell (for example, each subgrid tile may have its own temperature)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.number_of_surface_temperatures') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.4. Evaporation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Specify the formulation method for land surface evaporation, from soil and vegetation*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.evaporation') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"alpha\" \n", "# \"beta\" \n", "# \"combined\" \n", "# \"Monteith potential evaporation\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.5. Processes\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Describe which processes are included in the energy balance scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.processes') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"transpiration\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 19. Carbon Cycle \n", "*Land surface carbon cycle*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 19.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of carbon cycle in land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the carbon cycle tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.3. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of carbon cycle in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.4. Anthropogenic Carbon\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Describe the treament of the anthropogenic carbon pool*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.anthropogenic_carbon') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"grand slam protocol\" \n", "# \"residence time\" \n", "# \"decay time\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.5. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the carbon scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 20. Carbon Cycle --&gt; Vegetation \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 20.1. Number Of Carbon Pools\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Enter the number of carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.number_of_carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 20.2. Carbon Pools\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 20.3. Forest Stand Dynamics\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the treatment of forest stand dyanmics*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.forest_stand_dynamics') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 21. Carbon Cycle --&gt; Vegetation --&gt; Photosynthesis \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 21.1. Method\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the general method used for photosynthesis (e.g. type of photosynthesis, distinction between C3 and C4 grasses, Nitrogen depencence, etc.)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.photosynthesis.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 22. Carbon Cycle --&gt; Vegetation --&gt; Autotrophic Respiration \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 22.1. Maintainance Respiration\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the general method used for maintainence respiration*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.autotrophic_respiration.maintainance_respiration') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 22.2. Growth Respiration\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the general method used for growth respiration*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.autotrophic_respiration.growth_respiration') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 23. Carbon Cycle --&gt; Vegetation --&gt; Allocation \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 23.1. Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general principle behind the allocation scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.allocation.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 23.2. Allocation Bins\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Specify distinct carbon bins used in allocation*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.allocation.allocation_bins') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"leaves + stems + roots\" \n", "# \"leaves + stems + roots (leafy + woody)\" \n", "# \"leaves + fine roots + coarse roots + stems\" \n", "# \"whole plant (no distinction)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 23.3. Allocation Fractions\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe how the fractions of allocation are calculated*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.allocation.allocation_fractions') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"fixed\" \n", "# \"function of vegetation type\" \n", "# \"function of plant allometry\" \n", "# \"explicitly calculated\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 24. Carbon Cycle --&gt; Vegetation --&gt; Phenology \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 24.1. Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general principle behind the phenology scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.phenology.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 25. Carbon Cycle --&gt; Vegetation --&gt; Mortality \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 25.1. Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general principle behind the mortality scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.mortality.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 26. Carbon Cycle --&gt; Litter \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 26.1. Number Of Carbon Pools\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Enter the number of carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.litter.number_of_carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 26.2. Carbon Pools\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.litter.carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 26.3. Decomposition\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the decomposition methods used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.litter.decomposition') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 26.4. Method\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the general method used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.litter.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 27. Carbon Cycle --&gt; Soil \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 27.1. Number Of Carbon Pools\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Enter the number of carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.soil.number_of_carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 27.2. Carbon Pools\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.soil.carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 27.3. Decomposition\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the decomposition methods used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.soil.decomposition') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 27.4. Method\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the general method used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.soil.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 28. Carbon Cycle --&gt; Permafrost Carbon \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 28.1. Is Permafrost Included\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is permafrost included?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.permafrost_carbon.is_permafrost_included') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 28.2. Emitted Greenhouse Gases\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the GHGs emitted*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.permafrost_carbon.emitted_greenhouse_gases') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 28.3. Decomposition\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the decomposition methods used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.permafrost_carbon.decomposition') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 28.4. Impact On Soil Properties\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the impact of permafrost on soil properties*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.permafrost_carbon.impact_on_soil_properties') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 29. Nitrogen Cycle \n", "*Land surface nitrogen cycle*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 29.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of the nitrogen cycle in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.nitrogen_cycle.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 29.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the notrogen cycle tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.nitrogen_cycle.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 29.3. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of nitrogen cycle in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.nitrogen_cycle.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 29.4. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the nitrogen scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.nitrogen_cycle.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 30. River Routing \n", "*Land surface river routing*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 30.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of river routing in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the river routing, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.3. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of river routing scheme in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.4. Grid Inherited From Land Surface\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is the grid inherited from land surface?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.grid_inherited_from_land_surface') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.5. Grid Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of grid, if not inherited from land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.grid_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.6. Number Of Reservoirs\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Enter the number of reservoirs*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.number_of_reservoirs') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.7. Water Re Evaporation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *TODO*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.water_re_evaporation') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"flood plains\" \n", "# \"irrigation\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.8. Coupled To Atmosphere\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Is river routing coupled to the atmosphere model component?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.coupled_to_atmosphere') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.9. Coupled To Land\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the coupling between land and rivers*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.coupled_to_land') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.10. Quantities Exchanged With Atmosphere\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *If couple to atmosphere, which quantities are exchanged between river routing and the atmosphere model components?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.quantities_exchanged_with_atmosphere') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"heat\" \n", "# \"water\" \n", "# \"tracers\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.11. Basin Flow Direction Map\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What type of basin flow direction map is being used?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.basin_flow_direction_map') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"present day\" \n", "# \"adapted for other periods\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.12. Flooding\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the representation of flooding, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.flooding') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.13. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the river routing*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 31. River Routing --&gt; Oceanic Discharge \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 31.1. Discharge Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Specify how rivers are discharged to the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.oceanic_discharge.discharge_type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"direct (large rivers)\" \n", "# \"diffuse\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 31.2. Quantities Transported\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Quantities that are exchanged from river-routing to the ocean model component*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.oceanic_discharge.quantities_transported') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"heat\" \n", "# \"water\" \n", "# \"tracers\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 32. Lakes \n", "*Land surface lakes*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 32.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of lakes in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.2. Coupling With Rivers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Are lakes coupled to the river routing model component?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.coupling_with_rivers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.3. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of lake scheme in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.4. Quantities Exchanged With Rivers\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *If coupling with rivers, which quantities are exchanged between the lakes and rivers*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.quantities_exchanged_with_rivers') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"heat\" \n", "# \"water\" \n", "# \"tracers\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.5. Vertical Grid\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the vertical grid of lakes*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.vertical_grid') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.6. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the lake scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 33. Lakes --&gt; Method \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 33.1. Ice Treatment\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is lake ice included?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.ice_treatment') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.2. Albedo\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the treatment of lake albedo*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.albedo') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.3. Dynamics\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Which dynamics of lakes are treated? horizontal, vertical, etc.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.dynamics') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"No lake dynamics\" \n", "# \"vertical\" \n", "# \"horizontal\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.4. Dynamic Lake Extent\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is a dynamic lake extent scheme included?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.dynamic_lake_extent') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.5. Endorheic Basins\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Basins not flowing to ocean included?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.endorheic_basins') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 34. Lakes --&gt; Wetlands \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 34.1. Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the treatment of wetlands, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.wetlands.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### \u00a92017 [ES-DOC](https://es-doc.org) \n" ], "cell_type": "markdown", "metadata": {} } ], "metadata": { "kernelspec": { "display_name": "Python 2", "name": "python2", "language": "python" }, "language_info": { "mimetype": "text/x-python", "nbconvert_exporter": "python", "name": "python", "file_extension": ".py", "version": "2.7.10", "pygments_lexer": "ipython2", "codemirror_mode": { "version": 2, "name": "ipython" } } } }
gpl-3.0
janisz/bus-factor-calculator
marathon-consul/Calculate factor.ipynb
1
52720
{ "cells": [ { "cell_type": "code", "execution_count": 121, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import pandas as pd\n", "import networkx as nx" ] }, { "cell_type": "code", "execution_count": 104, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>statistic</th>\n", " <th>value</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>number-of-commits</td>\n", " <td>119</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>number-of-entities</td>\n", " <td>105</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>number-of-entities-changed</td>\n", " <td>435</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>number-of-authors</td>\n", " <td>3</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " statistic value\n", "0 number-of-commits 119\n", "1 number-of-entities 105\n", "2 number-of-entities-changed 435\n", "3 number-of-authors 3" ] }, "execution_count": 104, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.read_csv('summary.csv', parse_dates=True)" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": false }, "outputs": [], "source": [ "authors_churn = pd.read_csv('author-churn.csv')" ] }, { "cell_type": "code", "execution_count": 42, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>author</th>\n", " <th>added</th>\n", " <th>deleted</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>Brian Hicks</td>\n", " <td>4081</td>\n", " <td>1705</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>Daniel Krawczyk</td>\n", " <td>1285</td>\n", " <td>772</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>Tomasz Janiszewski</td>\n", " <td>4034</td>\n", " <td>2401</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " author added deleted\n", "0 Brian Hicks 4081 1705\n", "1 Daniel Krawczyk 1285 772\n", "2 Tomasz Janiszewski 4034 2401" ] }, "execution_count": 42, "metadata": {}, "output_type": "execute_result" } ], "source": [ "authors_churn" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "authors = pd.read_csv('authors.csv')" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>entity</th>\n", " <th>n-authors</th>\n", " <th>n-revs</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>main.go</td>\n", " <td>3</td>\n", " <td>31</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>web.go</td>\n", " <td>3</td>\n", " <td>29</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>README.md</td>\n", " <td>3</td>\n", " <td>26</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>marathon/marathon.go</td>\n", " <td>3</td>\n", " <td>22</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>web_test.go</td>\n", " <td>3</td>\n", " <td>19</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " entity n-authors n-revs\n", "0 main.go 3 31\n", "1 web.go 3 29\n", "2 README.md 3 26\n", "3 marathon/marathon.go 3 22\n", "4 web_test.go 3 19" ] }, "execution_count": 41, "metadata": {}, "output_type": "execute_result" } ], "source": [ "authors.head()" ] }, { "cell_type": "code", "execution_count": 43, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>n-authors</th>\n", " <th>n-revs</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>105.000000</td>\n", " <td>105.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>1.514286</td>\n", " <td>4.142857</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>0.735295</td>\n", " <td>5.570231</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>1.000000</td>\n", " <td>1.000000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>1.000000</td>\n", " <td>1.000000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>1.000000</td>\n", " <td>2.000000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>2.000000</td>\n", " <td>5.000000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>3.000000</td>\n", " <td>31.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " n-authors n-revs\n", "count 105.000000 105.000000\n", "mean 1.514286 4.142857\n", "std 0.735295 5.570231\n", "min 1.000000 1.000000\n", "25% 1.000000 1.000000\n", "50% 1.000000 2.000000\n", "75% 2.000000 5.000000\n", "max 3.000000 31.000000" ] }, "execution_count": 43, "metadata": {}, "output_type": "execute_result" } ], "source": [ "authors.describe()" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYYAAAEPCAYAAABGP2P1AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSJJKTAySpBITgySpxMQgSSoxMUiSSv4/9sot+vtUZx0AAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f8ba8cdd990>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%matplotlib inline\n", "authors.plot(kind='scatter', x='n-authors', y='n-revs');" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th></th>\n", " <th>n-revs</th>\n", " </tr>\n", " <tr>\n", " <th>n-authors</th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th rowspan=\"8\" valign=\"top\">1</th>\n", " <th>count</th>\n", " <td>66.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>2.045455</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>1.884608</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>1.000000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>1.000000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>1.000000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>2.000000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>10.000000</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"8\" valign=\"top\">2</th>\n", " <th>count</th>\n", " <td>24.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>3.791667</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>1.587428</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>2.000000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>2.750000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>3.500000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>5.000000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>7.000000</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"8\" valign=\"top\">3</th>\n", " <th>count</th>\n", " <td>15.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>13.933333</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>9.284908</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>4.000000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>7.000000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>9.000000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>20.500000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>31.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " n-revs\n", "n-authors \n", "1 count 66.000000\n", " mean 2.045455\n", " std 1.884608\n", " min 1.000000\n", " 25% 1.000000\n", " 50% 1.000000\n", " 75% 2.000000\n", " max 10.000000\n", "2 count 24.000000\n", " mean 3.791667\n", " std 1.587428\n", " min 2.000000\n", " 25% 2.750000\n", " 50% 3.500000\n", " 75% 5.000000\n", " max 7.000000\n", "3 count 15.000000\n", " mean 13.933333\n", " std 9.284908\n", " min 4.000000\n", " 25% 7.000000\n", " 50% 9.000000\n", " 75% 20.500000\n", " max 31.000000" ] }, "execution_count": 32, "metadata": {}, "output_type": "execute_result" } ], "source": [ "authors.groupby('n-authors').describe()" ] }, { "cell_type": "code", "execution_count": 119, "metadata": { "collapsed": false }, "outputs": [], "source": [ "communication = pd.read_csv('communication.csv', parse_dates=True)" ] }, { "cell_type": "code", "execution_count": 169, "metadata": { "collapsed": false }, "outputs": [], "source": [ "strength = communication['strength']\n", "communication['normal_strength'] = strength.apply(lambda x: (x - strength.min()) / (strength.max() - strength.min()))" ] }, { "cell_type": "code", "execution_count": 160, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>author</th>\n", " <th>peer</th>\n", " <th>shared</th>\n", " <th>average</th>\n", " <th>strength</th>\n", " <th>normal_strength</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>Tomasz Janiszewski</td>\n", " <td>Daniel Krawczyk</td>\n", " <td>27</td>\n", " <td>57</td>\n", " <td>47</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>Daniel Krawczyk</td>\n", " <td>Tomasz Janiszewski</td>\n", " <td>27</td>\n", " <td>57</td>\n", " <td>47</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>Tomasz Janiszewski</td>\n", " <td>Brian Hicks</td>\n", " <td>24</td>\n", " <td>56</td>\n", " <td>42</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>Brian Hicks</td>\n", " <td>Tomasz Janiszewski</td>\n", " <td>24</td>\n", " <td>56</td>\n", " <td>42</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>Daniel Krawczyk</td>\n", " <td>Brian Hicks</td>\n", " <td>18</td>\n", " <td>47</td>\n", " <td>38</td>\n", " <td>0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " author peer shared average strength \\\n", "0 Tomasz Janiszewski Daniel Krawczyk 27 57 47 \n", "1 Daniel Krawczyk Tomasz Janiszewski 27 57 47 \n", "2 Tomasz Janiszewski Brian Hicks 24 56 42 \n", "3 Brian Hicks Tomasz Janiszewski 24 56 42 \n", "4 Daniel Krawczyk Brian Hicks 18 47 38 \n", "\n", " normal_strength \n", "0 1 \n", "1 1 \n", "2 0 \n", "3 0 \n", "4 0 " ] }, "execution_count": 160, "metadata": {}, "output_type": "execute_result" } ], "source": [ "communication.head()" ] }, { "cell_type": "code", "execution_count": 170, "metadata": { "collapsed": false }, "outputs": [], "source": [ "G=nx.from_pandas_dataframe(communication, 'author', 'peer', ['strength'])" ] }, { "cell_type": "code", "execution_count": 171, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.collections.LineCollection at 0x7f8ba34bdbd0>" ] }, "execution_count": 171, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYEAAAEACAYAAABVtcpZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f8ba86a56d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "pos=nx.spring_layout(G)\n", "edgewidth = [ d['strength'] for (u,v,d) in G.edges(data=True)]\n", "nx.draw_networkx_edge_labels(G, pos)\n", "nx.draw_networkx_nodes(G,pos)\n", "nx.draw_networkx_labels(G,pos)\n", "nx.draw_networkx_edges(G, pos, edge_color=edgewidth)\n", "\n" ] }, { "cell_type": "code", "execution_count": 179, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "{2: 2.0}" ] }, "execution_count": 179, "metadata": {}, "output_type": "execute_result" } ], "source": [ "nx.k_nearest_neighbors(G, weight='strength')" ] }, { "cell_type": "code", "execution_count": 178, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "{'Brian Hicks': 0.31693061243932524,\n", " 'Daniel Krawczyk': 0.3344486194845959,\n", " 'Tomasz Janiszewski': 0.34862076807607845}" ] }, "execution_count": 178, "metadata": {}, "output_type": "execute_result" } ], "source": [ "nx.pagerank(G, weight='strength')" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
mne-tools/mne-tools.github.io
0.19/_downloads/a1ab4842a5aa341564b4fa0a6bf60065/plot_dipole_orientations.ipynb
1
13155
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\n\nThe role of dipole orientations in distributed source localization\n==================================================================\n\nWhen performing source localization in a distributed manner\n(MNE/dSPM/sLORETA/eLORETA),\nthe source space is defined as a grid of dipoles that spans a large portion of\nthe cortex. These dipoles have both a position and an orientation. In this\ntutorial, we will look at the various options available to restrict the\norientation of the dipoles and the impact on the resulting source estimate.\n\nSee `inverse_orientation_constrains`\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Loading data\n------------\nLoad everything we need to perform source localization on the sample dataset.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import mne\nimport numpy as np\nfrom mne.datasets import sample\nfrom mne.minimum_norm import make_inverse_operator, apply_inverse\n\ndata_path = sample.data_path()\nevokeds = mne.read_evokeds(data_path + '/MEG/sample/sample_audvis-ave.fif')\nleft_auditory = evokeds[0].apply_baseline()\nfwd = mne.read_forward_solution(\n data_path + '/MEG/sample/sample_audvis-meg-eeg-oct-6-fwd.fif')\nmne.convert_forward_solution(fwd, surf_ori=True, copy=False)\nnoise_cov = mne.read_cov(data_path + '/MEG/sample/sample_audvis-cov.fif')\nsubject = 'sample'\nsubjects_dir = data_path + '/subjects'\ntrans_fname = data_path + '/MEG/sample/sample_audvis_raw-trans.fif'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The source space\n----------------\nLet's start by examining the source space as constructed by the\n:func:`mne.setup_source_space` function. Dipoles are placed along fixed\nintervals on the cortex, determined by the ``spacing`` parameter. The source\nspace does not define the orientation for these dipoles.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "lh = fwd['src'][0] # Visualize the left hemisphere\nverts = lh['rr'] # The vertices of the source space\ntris = lh['tris'] # Groups of three vertices that form triangles\ndip_pos = lh['rr'][lh['vertno']] # The position of the dipoles\ndip_ori = lh['nn'][lh['vertno']]\ndip_len = len(dip_pos)\ndip_times = [0]\nwhite = (1.0, 1.0, 1.0) # RGB values for a white color\n\nactual_amp = np.ones(dip_len) # misc amp to create Dipole instance\nactual_gof = np.ones(dip_len) # misc GOF to create Dipole instance\ndipoles = mne.Dipole(dip_times, dip_pos, actual_amp, dip_ori, actual_gof)\ntrans = mne.read_trans(trans_fname)\n\nfig = mne.viz.create_3d_figure(size=(600, 400), bgcolor=white)\ncoord_frame = 'mri'\n\n# Plot the cortex\nfig = mne.viz.plot_alignment(subject=subject, subjects_dir=subjects_dir,\n trans=trans, surfaces='white',\n coord_frame=coord_frame, fig=fig)\n\n# Mark the position of the dipoles with small red dots\nfig = mne.viz.plot_dipole_locations(dipoles=dipoles, trans=trans,\n mode='sphere', subject=subject,\n subjects_dir=subjects_dir,\n coord_frame=coord_frame,\n scale=7e-4, fig=fig)\n\nmne.viz.set_3d_view(figure=fig, azimuth=180, distance=0.25)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\nFixed dipole orientations\n-------------------------\nWhile the source space defines the position of the dipoles, the inverse\noperator defines the possible orientations of them. One of the options is to\nassign a fixed orientation. Since the neural currents from which MEG and EEG\nsignals originate flows mostly perpendicular to the cortex [1]_, restricting\nthe orientation of the dipoles accordingly places a useful restriction on the\nsource estimate.\n\nBy specifying ``fixed=True`` when calling\n:func:`mne.minimum_norm.make_inverse_operator`, the dipole orientations are\nfixed to be orthogonal to the surface of the cortex, pointing outwards. Let's\nvisualize this:\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "fig = mne.viz.create_3d_figure(size=(600, 400))\n\n# Plot the cortex\nfig = mne.viz.plot_alignment(subject=subject, subjects_dir=subjects_dir,\n trans=trans,\n surfaces='white', coord_frame='head', fig=fig)\n\n# Show the dipoles as arrows pointing along the surface normal\nfig = mne.viz.plot_dipole_locations(dipoles=dipoles, trans=trans,\n mode='arrow', subject=subject,\n subjects_dir=subjects_dir,\n coord_frame='head',\n scale=7e-4, fig=fig)\n\nmne.viz.set_3d_view(figure=fig, azimuth=180, distance=0.1)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Restricting the dipole orientations in this manner leads to the following\nsource estimate for the sample data:\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Compute the source estimate for the 'left - auditory' condition in the sample\n# dataset.\ninv = make_inverse_operator(left_auditory.info, fwd, noise_cov, fixed=True)\nstc = apply_inverse(left_auditory, inv, pick_ori=None)\n\n# Visualize it at the moment of peak activity.\n_, time_max = stc.get_peak(hemi='lh')\nbrain_fixed = stc.plot(surface='white', subjects_dir=subjects_dir,\n initial_time=time_max, time_unit='s', size=(600, 400))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The direction of the estimated current is now restricted to two directions:\ninward and outward. In the plot, blue areas indicate current flowing inwards\nand red areas indicate current flowing outwards. Given the curvature of the\ncortex, groups of dipoles tend to point in the same direction: the direction\nof the electromagnetic field picked up by the sensors.\n\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\nLoose dipole orientations\n-------------------------\nForcing the source dipoles to be strictly orthogonal to the cortex makes the\nsource estimate sensitive to the spacing of the dipoles along the cortex,\nsince the curvature of the cortex changes within each ~10 square mm patch.\nFurthermore, misalignment of the MEG/EEG and MRI coordinate frames is more\ncritical when the source dipole orientations are strictly constrained [2]_.\nTo lift the restriction on the orientation of the dipoles, the inverse\noperator has the ability to place not one, but three dipoles at each\nlocation defined by the source space. These three dipoles are placed\northogonally to form a Cartesian coordinate system. Let's visualize this:\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "fig = mne.viz.create_3d_figure(size=(600, 400))\n\n# Plot the cortex\nfig = mne.viz.plot_alignment(subject=subject, subjects_dir=subjects_dir,\n trans=trans,\n surfaces='white', coord_frame='head', fig=fig)\n\n# Show the three dipoles defined at each location in the source space\nfig = mne.viz.plot_alignment(subject=subject, subjects_dir=subjects_dir,\n trans=trans, fwd=fwd,\n surfaces='white', coord_frame='head', fig=fig)\n\nmne.viz.set_3d_view(figure=fig, azimuth=180, distance=0.1)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "When computing the source estimate, the activity at each of the three dipoles\nis collapsed into the XYZ components of a single vector, which leads to the\nfollowing source estimate for the sample data:\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Make an inverse operator with loose dipole orientations\ninv = make_inverse_operator(left_auditory.info, fwd, noise_cov, fixed=False,\n loose=1.0)\n\n# Compute the source estimate, indicate that we want a vector solution\nstc = apply_inverse(left_auditory, inv, pick_ori='vector')\n\n# Visualize it at the moment of peak activity.\n_, time_max = stc.magnitude().get_peak(hemi='lh')\nbrain_mag = stc.plot(subjects_dir=subjects_dir, initial_time=time_max,\n time_unit='s', size=(600, 400), overlay_alpha=0)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\nLimiting orientations, but not fixing them\n------------------------------------------\nOften, the best results will be obtained by allowing the dipoles to have\nsomewhat free orientation, but not stray too far from a orientation that is\nperpendicular to the cortex. The ``loose`` parameter of the\n:func:`mne.minimum_norm.make_inverse_operator` allows you to specify a value\nbetween 0 (fixed) and 1 (unrestricted or \"free\") to indicate the amount the\norientation is allowed to deviate from the surface normal.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Set loose to 0.2, the default value\ninv = make_inverse_operator(left_auditory.info, fwd, noise_cov, fixed=False,\n loose=0.2)\nstc = apply_inverse(left_auditory, inv, pick_ori='vector')\n\n# Visualize it at the moment of peak activity.\n_, time_max = stc.magnitude().get_peak(hemi='lh')\nbrain_loose = stc.plot(subjects_dir=subjects_dir, initial_time=time_max,\n time_unit='s', size=(600, 400), overlay_alpha=0)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Discarding dipole orientation information\n-----------------------------------------\nOften, further analysis of the data does not need information about the\norientation of the dipoles, but rather their magnitudes. The ``pick_ori``\nparameter of the :func:`mne.minimum_norm.apply_inverse` function allows you\nto specify whether to return the full vector solution (``'vector'``) or\nrather the magnitude of the vectors (``None``, the default) or only the\nactivity in the direction perpendicular to the cortex (``'normal'``).\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Only retain vector magnitudes\nstc = apply_inverse(left_auditory, inv, pick_ori=None)\n\n# Visualize it at the moment of peak activity.\n_, time_max = stc.get_peak(hemi='lh')\nbrain = stc.plot(surface='white', subjects_dir=subjects_dir,\n initial_time=time_max, time_unit='s', size=(600, 400))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "References\n----------\n.. [1] H\u00e4m\u00e4l\u00e4inen, M. S., Hari, R., Ilmoniemi, R. J., Knuutila, J., &\n Lounasmaa, O. V. \"Magnetoencephalography - theory, instrumentation, and\n applications to noninvasive studies of the working human brain\", Reviews\n of Modern Physics, 1993. https://doi.org/10.1103/RevModPhys.65.413\n\n.. [2] Lin, F. H., Belliveau, J. W., Dale, A. M., & H\u00e4m\u00e4l\u00e4inen, M. S. (2006).\n Distributed current estimates using cortical orientation constraints.\n Human Brain Mapping, 27(1), 1\u201313. http://doi.org/10.1002/hbm.20155\n\n" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.4" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
kriukov/interval-methods
ipynb/henon_points.ipynb
2
37247
{ "metadata": { "language": "Julia", "name": "" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ ";cd .." ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "/home/kriukov/main/work/mexico/unam/interval-methods\n" ] } ], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "include(\"henon.jl\")" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 51, "text": [ "roots (generic function with 1 method)" ] } ], "prompt_number": 51 }, { "cell_type": "code", "collapsed": false, "input": [ "function henon_plot_points(N, a, b)\n", " data = zeros(N, 2)\n", " x0 = [(2rand()-1)/2, (2rand()-1)/2]\n", " for i in 1:N\n", " output = f(x0, a, b)\n", " data[i, 1:2] = output\n", " x0 = f(output, a, b)\n", " end\n", " \n", " data\n", "end" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 73, "text": [ "henon_plot_points (generic function with 1 method)" ] } ], "prompt_number": 73 }, { "cell_type": "code", "collapsed": false, "input": [ "using PyPlot" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 63 }, { "cell_type": "code", "collapsed": false, "input": [ "a = 1.4; b = 0.3\n", "for i in 1:40\n", " points = henon_plot_points(200, a, b);\n", " plt.plot(points[:,1], points[:,2], \"b.\", markersize=1, alpha=0.3) \n", "end\n", "\n", "plt.xlim(-1.5, 1.5)\n", "plt.ylim(-0.5, 0.5)\n", "#plt.xlabel(L\"$\\theta$\")\n", "#plt.ylabel(L\"$\\phi$\")\n", "xlabel(\"x\")\n", "ylabel(\"p\")\n", "\n", "plt.savefig(\"henon.pdf\")" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "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", "text": [ "Figure(PyObject <matplotlib.figure.Figure object at 0xaf4cad8c>)" ] } ], "prompt_number": 74 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
gpl-3.0
cavestruz/MLPipeline
notebooks/anomaly_detection/sample_anomaly_detection.ipynb
1
7074
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Let us first explore an example that falls under novelty detection. Here, we train a model on data with some distribution and no outliers. The test data, has some \"novel\" subset of data that does not follow that distribution." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np\n", "import matplotlib.pyplot as plt\n", "from sklearn import svm\n", "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Use the np.random module to generate a normal distribution of 1,000 data points in two dimensions (e.g. x, y) - choose whatever mean and sigma^2 you like. Generate another 1,000 data points with a normal distribution in two dimensions that are well separated from the first set. You now have two \"clusters\". Concatenate them so you have 2,000 data points in two dimensions. Plot the points. This will be the training set." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ " Plot the points." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Generate 100 data points with the same distribution as your first random normal 2-d set, and 100 data points with the same distribution as your second random normal 2-d set. This will be the test set labeled X_test_normal." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Generate 100 data points with a random uniform distribution. This will be the test set labeled X_test_uniform." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Define a model classifier with the svm.OneClassSVM" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": true }, "outputs": [], "source": [ "model = svm.OneClassSVM()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Fit the model to the training data." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Use the trained model to predict whether X_test_normal data point are in the same distributions. Calculate the fraction of \"false\" predictions." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Use the trained model to predict whether X_test_uniform is in the same distribution. Calculate the fraction of \"false\" predictions." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Use the trained model to see how well it recovers the training data. (Predict on the training data, and calculate the fraction of \"false\" predictions.)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Create another instance of the model classifier, but change the kwarg value for nu. Hint: Use help to figure out what the kwargs are." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Redo the prediction on the training set, prediction on X_test_random, and prediction on X_test." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Plot in scatter points the X_train in blue, X_test_normal in red, and X_test_uniform in black. Overplot the trained model decision function boundary for the first instance of the model classifier." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Do the same for the second instance of the model classifier." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "raw", "metadata": {}, "source": [ "For this second example, we will explore what is known as \"outlier\" detection, where you do not have a training set of unpolluted data, but must instead explore what the outliers might be. Note, the difference between novelty detection and outlier detection is analogous to the difference between supervised and unsupervised classification. We will use tools from sklearn.covariance to illustrate this. " ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from sklearn.covariance import EllipticEnvelope" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Test how well EllipticEnvelope predicts the outliers when you concatenate the training data with the X_test_uniform data." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Compute and plot the mahanalobis distances of X_test, X_train_normal, X_train_uniform" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
mzszym/oedes
examples/scl/scl-trapping.ipynb
1
75101
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Steady-state space-charge-limited current with traps \n", "\n", "This example shows how to simulate effects of a single trap level on current-voltage characteristics of a single carrier device.\n", "\n" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "%matplotlib inline\n", "import matplotlib.pylab as plt\n", "import oedes\n", "import numpy as np\n", "oedes.init_notebook() # for displaying progress bars " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Model and parameters\n", "\n", "Electron only device is simulated, without contact barrier. Note that more trap levels can be included by modifying traps= argument below. Each trap level should have unique name." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "L = 200e-9 # device thickness, m\n", "model = oedes.models.std.electrononly(L, traps=['trap'])" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "params = {\n", " 'T': 300, # K\n", " 'electrode0.workfunction': 0, # eV\n", " 'electrode1.workfunction': 0, # eV\n", " 'electron.energy': 0, # eV\n", " 'electron.mu': 1e-9, # m2/(Vs)\n", " 'electron.N0': 2.4e26, # 1/m^3\n", " 'electron.trap.energy': 0, # eV\n", " 'electron.trap.trate': 1e-22, # 1/(m^3 s)\n", " 'electron.trap.N0': 6.2e22, # 1/m^3\n", " 'electrode0.voltage': 0, # V\n", " 'electrode1.voltage': 0, # V\n", " 'epsilon_r': 3. # 1\n", "}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Sweep parameters\n", "\n", "For simplicity, the case of absent traps is modeled by putting trap level 1 eV above transport level. This makes trap states effectively unoccupied." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "trapenergy_sweep = oedes.sweep('electron.trap.energy',np.asarray([-0.45, -0.33, -0.21, 1.]))\n", "voltage_sweep = oedes.sweep('electrode0.voltage', np.logspace(-3, np.log10(20.), 100))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Result" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "scrolled": true }, "outputs": [ { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "a6e3fa068ea744b3b85b657b19766575" } }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "6d283a93119d429c930d47f5e00e2630" } }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/json": { "data": { "__ndarray__": "iLexVUc2rz6wEe+reD+xPm8rh7MHELM+pIKDd3URtT7Mexni60i3PjiIGeEWvLk+G2sAcT5xvD7wGoIJVW+/Pnv+PrYDX8E+vJKuoegywz4cPkWeCjjFPk/mCKSYc8c+/Qw0jE7ryT6lCol+faXMPu6D3t4qqc8+TZTkngl/0T7PIIeEY1bTPks5REJeX9U+ak0HmDaf1z7u8D12thvaPntXp71F29w+dmbwxfvk3z49xUt2WKDhPlqmic2Ke+M+baRm/uOI5T5iFzEnus3nPgrRPbj1T+o+4SUTLCYW7T4I+wfryhPwPg3IwbstxvE+vKbAMr6m8z5tv5oVgrr1PiybodoUB/g+WvRrAr2S+j6q1PDLg2T9Pjl49xQpQgA/Am3Fmor9AT/nEq59c+kDP74E4iShCwY/FrhHDqFqCD8ayqWC9g0LP3/vrgNK/g0/u/DWNdGiED+DX4Z71XcSP6h1xwIEhRQ/844xS1/SFj/RmHaaV2kZP9tXZ9UUVRw/WwAT582iHz/8EesYGLEhP9PN5Dnt0iM/4cGI1/ZBJj8DG5+iXQspP0Kfd6rLPiw/dgRMf+zuLz82FunsBRkyPyftRi5tkTQ/EikHR7JwNz/FL7KjJMo6PxCuQZ0htT4/Rj4kTAenQT9G3h3WzFtEP8qGWuorjkc/kaCsDnBYSz/ORDUjSNtPP2wbLVvOn1I/+xp+aYPcVT+Zgfnrk8RZP6Xg/dUggl4/3IPUX+clYj/ad8nrprRlP34/0Y3wHGo/V7xbqvuebz/SKArpZklzP+40OhmxuXc/ldXilDd4fT+4j+co8IKCP+M6X7Pzkoc/K4Wm7t6Fjj/6qHv94SyUP4DbHq+bZps/RIA0tbVMoz8HEpatDaasP4igBwhADbc/EoV23a1ExT+RFFL/zDLZP4Ygv8l3V/U/xUqMziXLEkB7tVxEjpkoQDptmDlISzlAyInwGOhtRkDP9pWMeR9SQL0zSJPFhltAeziI72wDZEDa5IUf2i9sQHBn66HXX3NAL5iPnP8iekDn8UGoCF6BQDTpmVdXzYZAHvxA2w+kjUA=", "dtype": "float64", "shape": "100" } } }, "metadata": { "atol": 0, "format": "oedes.testing.nb_store_array", "label": null, "rtol": 0.001 }, "output_type": "display_data" }, { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "542d171c2d67495184c8db74c87ebc1d" } }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/json": { "data": { "__ndarray__": "YzSEORQMET91gS15OtcSP7AI9OCv0hQ/HxYIoYkDFz+7+rUfZm8ZP3h6U+96HBw/+86AIKURHz/VOnVjPSshPx+oAjmv+SI/ZXDWacr4JD8jRCZHri0nP/o4V1QEnik/rvz0+w5QLD+yoXmauUovP1z9C0rVSjE/y3U5jascMz/m3M6ljB81PzXAAk6kWDc/Eyj8fqrNOT92Q1mY8oQ8PxTZjB98hT8/09UoxYJrQT/+/9mSEEFDP4Ini0MmSEU/776dcwWGRz+1Zv9OgQBKP9ugElsPvkw/20yQUdrFTz/GyT3s649RP3yld5BwalM/hncVvWd3VT/jHsGjTrxXPzrUw01GP1o/E++vPCoHXT8TH+Cj1Q1gP0DlZ8m3wmE/EUz+gBynYz8AM0PWicBlP+xkaiBHFWg/FjG5c3+saj9ug2i3a45tP8NUYh5DYnA/DF1Z7OQscj8MUz59fy10PweHYbKMa3Y/fU8QytTveD8QrFiHssR7P2b1yaFk9n4/ryB4Q7dJgT/xOdh4hVaDPx45HsbZq4U/sIDyBeFViD8jwhBuGGOLP14KDNnB5I4/vv10ybh3kT/c7hlr3s2TP+hTxAiJg5Y/AtbiLWOqmT+b2+s9s1edP+jrO32X0qA/TNAdBgNZoz9S+sm1GVKmP9FBhWYV1ak/U/noPH/+rT9b6ev/yHixP5jiSgYDbbQ/qpzWdzX3tz/kefFeoDm8Pyi13erFr8A/8npLlQbQwz9IL4n35KDHP0dJ9rARUMw/mBbKN5oM0T9wFa+BgKXUPyYATCpBJ9k/W9vDWeDY3j8eFego5w3jPydTHLn6u+c/Xpbihj7X7T9J1kKI7vXyP2PyeoUQZfg/I/Ioe+XX/z8HhKYQ4yMFQIkliSLRowxA46TUSBTdE0Bf4ZibA04cQNzStexfwCRAwUe5M6s7L0CNniQymeg3QClxaB0oWEJAgSo/uePNS0CebGSrAJ9UQMS2/DJG2F1A6ldA/wIYZUAzYCAvUjNtQFtKfWhj2nNA/aEIVIOXekBG4D2bwJWBQOHXrl/pAodA8l4L8c3XjUA=", "dtype": "float64", "shape": "100" } } }, "metadata": { "atol": 0, "format": "oedes.testing.nb_store_array", "label": null, "rtol": 0.001 }, "output_type": "display_data" }, { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "a66869f0a1d146baba84d7a3697ca085" } }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/json": { "data": { "__ndarray__": "DYA3Vr3CXz+m0fF5F41hPw3RuN7QZWM/f8qtFUdwZT8TtUjytbFnP56M8lHmL2o//pAU+TzxbD8mUKkAy/xvP/TzBAQwrXE/JkTwJU+Jcz8COjp0iJd1P2KuWjoi3Xc/6phAIfFfej+dFWZEZyZ9P6FE3nLSG4A/47BNecXNgT80o9rEZ62DP8SzJWGKv4U/Zw40/IAJiD/THXz1L5GKPxlMeAQcXY0/OW/31D06kD8uirhVpe+RP2iAqu8v05M/CHbq0MLplT+7QASsyjiYP6/2xEBLxpo/GYYE9/CYnT++X4t1ElygP+S85e8RFqI/aRHJUwz/oz8Qu4VeHRymPyGG8vf4cqg/lxyFJQAKqz/lBijSWeitP+MF6CkIi7A/P85uahpOsj9um08IhUK0P3bYahYcbrY/+XqJ8YXXuD/K2SrSYYa7P72uYPJ2g74/WI/BEXfswD9FStj4S8nCP0mFMNgg38Q/GQ+7/g02xz+dPaO5mtfJP/p3+DYGz8w/aEbVw84U0D+TkUlNkPvRP2P7/EMcJdQ/yT1WKoGc1j/WnhFB2W7ZP9SPv6Srq9w/pN0fSrAy4D90opHU5ljiP953XeNv1eQ/6pI1x7S35z/nvuEsDxLrP9PI5J5h+u4/j3UnZmjF8T/V4rpRUnH0PzS+tPysk/c/T11jxfdC+z9kst3YPZr/PzWL8tQGXQJABlaDm9NkBUBULXjeNvwIQCFR8TjWPw1AhPPUaDApEUDCbtEN8i4UQJtenFi3yhdAEieZ6YkbHECjxHmnmaMgQNSNSWzivSNA9jcPx714J0DCFg5Oi/YrQKzLqgSvsDBAJuuvrGP2M0A7zDsGCOw3QD3v8059uDxAk8x5DcxFQUANuHeMINBEQOdb9waNH0lAYQsom+NgTkDyzF09wWVSQJdEftXuUVZACZu2+1IfW0CDDpZsLIFgQAU8FST1HWRAWJPZ1DeOaECcKoK6wgNuQA1qLtEjXnJAsRHbdxaCdkD+8h9NAp17QJehBCiz9IBAt56V9cjXhEBccG9PaqSJQHCif56xkY9AvvGFP8Zxk0A=", "dtype": "float64", "shape": "100" } } }, "metadata": { "atol": 0, "format": "oedes.testing.nb_store_array", "label": null, "rtol": 0.001 }, "output_type": "display_data" }, { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "1b64538de3aa4fae910bd30ae45cc724" } }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/json": { "data": { "__ndarray__": "dH9XtCeiaz9Ddic5b4puP1lCUNyC4HA/UC7XTRSncj+s8DuoeZ10P+Ue0Vi7yHY/rsKAb2kueT/3DFfuqdR7P8J7zZhIwn4/bAoYOWT/gD/wV3SMO8mCP1n1/BdBw4Q/6SsYiofyhj9q7myMqlyJP9oSqk3dB4w/Jj/Sm/r6jj+yBTtgyx6RP6o+eagJ7JI/9aMHmNrplD/QJcKBXh2XP5u+/DZBjJk/rj+QM8k8nD/BNDqP6DWfPxx1ivmnP6E/8ien7sEQoz9RTe5G+hKlP621U4SMS6c/HZKy2EbAqT/zRlOSm3esP9SzIgy1eK8/3uz1T8ZlsT+B7XScgjyzPyuiOyeFRbU/OCeHIVqGtz8TKkmzOQW6P2g5b5chybw/8y0r0fPZvz91NeXbTaDBP/beNnKdg8M/5Upf86+cxT9WZpKGJPLHP3F+j/6ai8o/YQCepuVxzT93bqfwolfQP4Y2TM7aJ9I/vH/g458w1D/NPRoMNXrWP1msjUVZDtk/Kpx8o5H42z8XxxX2f0bfP2JXwAskhOE/fSfEOoOo4z9OlpG0rRvmPxLZAxgI6+g/pn6/6G0m7D/HmKHgp+DvPxu0LWf9F/I/ChBJPGqX9D84y1dvTn73P2vpgaVZ3/o/lm+YDtDQ/j8W2xeGobYBQLcJdxk4agRAyLmcWyeWB0AlHnGPKlELQEaFF5yBtg9AtQtevG9zEkC9YJt1xYQVQDjsnDTeJhlASMF0IiN2HUBUQLbKZUohQHsHa50KViRA7/Ckh9/2J0BMdETR6kosQEf5GJQ4uzBAQzm9vaTSM0Cqr9QaPYY3QBNl7usX9jtAnT1QHoikQEC5/y/9HNdDQCsO43BTr0dAqCj49sZPTEC671m1OvFQQCP1WfcrTVRA0hEEh6paWEBnKNbYTz9dQKGzZLlmlGFAgmWQ71cnZUAMLbtLQnppQHXLXmE8tm5AKl3J26KGckAsgYPzHF52QE71L8mYBntACPOSXZpWgECMQFVLc8SDQCYJfXRS7odAEvgrKgX9jECQFpN3I5GRQCOwu0dcTZVAuq/XHiPYmUA=", "dtype": "float64", "shape": "100" } } }, "metadata": { "atol": 0, "format": "oedes.testing.nb_store_array", "label": null, "rtol": 0.001 }, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "\r" ] }, { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"utf-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Created with matplotlib (http://matplotlib.org/) -->\n", "<svg height=\"265pt\" version=\"1.1\" viewBox=\"0 0 397 265\" width=\"397pt\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", " <defs>\n", " <style type=\"text/css\">\n", "*{stroke-linecap:butt;stroke-linejoin:round;}\n", " </style>\n", " </defs>\n", " <g id=\"figure_1\">\n", " <g id=\"patch_1\">\n", " <path d=\"M 0 265.69625 \n", "L 397.3 265.69625 \n", "L 397.3 0 \n", "L 0 0 \n", "z\n", "\" style=\"fill:none;\"/>\n", " </g>\n", " <g id=\"axes_1\">\n", " <g id=\"patch_2\">\n", " <path d=\"M 51.8 228.14 \n", "L 386.6 228.14 \n", "L 386.6 10.7 \n", "L 51.8 10.7 \n", "z\n", "\" style=\"fill:#ffffff;\"/>\n", " </g>\n", " <g id=\"matplotlib.axis_1\">\n", " <g id=\"xtick_1\">\n", " <g id=\"line2d_1\">\n", " <defs>\n", " <path d=\"M 0 0 \n", "L 0 3.5 \n", "\" id=\"m394cacc6e6\" style=\"stroke:#000000;stroke-width:0.8;\"/>\n", " </defs>\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.8;\" x=\"67.018182\" xlink:href=\"#m394cacc6e6\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " <g id=\"text_1\">\n", " <!-- $\\mathdefault{10^{-3}}$ -->\n", " <defs>\n", " <path d=\"M 12.40625 8.296875 \n", "L 28.515625 8.296875 \n", "L 28.515625 63.921875 \n", "L 10.984375 60.40625 \n", "L 10.984375 69.390625 \n", "L 28.421875 72.90625 \n", "L 38.28125 72.90625 \n", "L 38.28125 8.296875 \n", "L 54.390625 8.296875 \n", "L 54.390625 0 \n", "L 12.40625 0 \n", "z\n", "\" id=\"DejaVuSans-31\"/>\n", " <path d=\"M 31.78125 66.40625 \n", "Q 24.171875 66.40625 20.328125 58.90625 \n", "Q 16.5 51.421875 16.5 36.375 \n", "Q 16.5 21.390625 20.328125 13.890625 \n", "Q 24.171875 6.390625 31.78125 6.390625 \n", "Q 39.453125 6.390625 43.28125 13.890625 \n", "Q 47.125 21.390625 47.125 36.375 \n", "Q 47.125 51.421875 43.28125 58.90625 \n", "Q 39.453125 66.40625 31.78125 66.40625 \n", "z\n", "M 31.78125 74.21875 \n", "Q 44.046875 74.21875 50.515625 64.515625 \n", "Q 56.984375 54.828125 56.984375 36.375 \n", "Q 56.984375 17.96875 50.515625 8.265625 \n", "Q 44.046875 -1.421875 31.78125 -1.421875 \n", "Q 19.53125 -1.421875 13.0625 8.265625 \n", "Q 6.59375 17.96875 6.59375 36.375 \n", "Q 6.59375 54.828125 13.0625 64.515625 \n", "Q 19.53125 74.21875 31.78125 74.21875 \n", "z\n", "\" id=\"DejaVuSans-30\"/>\n", " <path d=\"M 10.59375 35.5 \n", "L 73.1875 35.5 \n", "L 73.1875 27.203125 \n", "L 10.59375 27.203125 \n", "z\n", "\" id=\"DejaVuSans-2212\"/>\n", " <path d=\"M 40.578125 39.3125 \n", "Q 47.65625 37.796875 51.625 33 \n", "Q 55.609375 28.21875 55.609375 21.1875 \n", "Q 55.609375 10.40625 48.1875 4.484375 \n", "Q 40.765625 -1.421875 27.09375 -1.421875 \n", "Q 22.515625 -1.421875 17.65625 -0.515625 \n", "Q 12.796875 0.390625 7.625 2.203125 \n", "L 7.625 11.71875 \n", "Q 11.71875 9.328125 16.59375 8.109375 \n", "Q 21.484375 6.890625 26.8125 6.890625 \n", "Q 36.078125 6.890625 40.9375 10.546875 \n", "Q 45.796875 14.203125 45.796875 21.1875 \n", "Q 45.796875 27.640625 41.28125 31.265625 \n", "Q 36.765625 34.90625 28.71875 34.90625 \n", "L 20.21875 34.90625 \n", "L 20.21875 43.015625 \n", "L 29.109375 43.015625 \n", "Q 36.375 43.015625 40.234375 45.921875 \n", "Q 44.09375 48.828125 44.09375 54.296875 \n", "Q 44.09375 59.90625 40.109375 62.90625 \n", "Q 36.140625 65.921875 28.71875 65.921875 \n", "Q 24.65625 65.921875 20.015625 65.03125 \n", "Q 15.375 64.15625 9.8125 62.3125 \n", "L 9.8125 71.09375 \n", "Q 15.4375 72.65625 20.34375 73.4375 \n", "Q 25.25 74.21875 29.59375 74.21875 \n", "Q 40.828125 74.21875 47.359375 69.109375 \n", "Q 53.90625 64.015625 53.90625 55.328125 \n", "Q 53.90625 49.265625 50.4375 45.09375 \n", "Q 46.96875 40.921875 40.578125 39.3125 \n", "z\n", "\" id=\"DejaVuSans-33\"/>\n", " </defs>\n", " <g transform=\"translate(55.268182 242.738437)scale(0.1 -0.1)\">\n", " <use transform=\"translate(0 0.765625)\" xlink:href=\"#DejaVuSans-31\"/>\n", " <use transform=\"translate(63.623047 0.765625)\" xlink:href=\"#DejaVuSans-30\"/>\n", " <use transform=\"translate(128.203125 39.046875)scale(0.7)\" xlink:href=\"#DejaVuSans-2212\"/>\n", " <use transform=\"translate(186.855469 39.046875)scale(0.7)\" xlink:href=\"#DejaVuSans-33\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_2\">\n", " <g id=\"line2d_2\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.8;\" x=\"137.783472\" xlink:href=\"#m394cacc6e6\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " <g id=\"text_2\">\n", " <!-- $\\mathdefault{10^{-2}}$ -->\n", " <defs>\n", " <path d=\"M 19.1875 8.296875 \n", "L 53.609375 8.296875 \n", "L 53.609375 0 \n", "L 7.328125 0 \n", "L 7.328125 8.296875 \n", "Q 12.9375 14.109375 22.625 23.890625 \n", "Q 32.328125 33.6875 34.8125 36.53125 \n", "Q 39.546875 41.84375 41.421875 45.53125 \n", "Q 43.3125 49.21875 43.3125 52.78125 \n", "Q 43.3125 58.59375 39.234375 62.25 \n", "Q 35.15625 65.921875 28.609375 65.921875 \n", "Q 23.96875 65.921875 18.8125 64.3125 \n", "Q 13.671875 62.703125 7.8125 59.421875 \n", "L 7.8125 69.390625 \n", "Q 13.765625 71.78125 18.9375 73 \n", "Q 24.125 74.21875 28.421875 74.21875 \n", "Q 39.75 74.21875 46.484375 68.546875 \n", "Q 53.21875 62.890625 53.21875 53.421875 \n", "Q 53.21875 48.921875 51.53125 44.890625 \n", "Q 49.859375 40.875 45.40625 35.40625 \n", "Q 44.1875 33.984375 37.640625 27.21875 \n", "Q 31.109375 20.453125 19.1875 8.296875 \n", "z\n", "\" id=\"DejaVuSans-32\"/>\n", " </defs>\n", " <g transform=\"translate(126.033472 242.738437)scale(0.1 -0.1)\">\n", " <use transform=\"translate(0 0.765625)\" xlink:href=\"#DejaVuSans-31\"/>\n", " <use transform=\"translate(63.623047 0.765625)\" xlink:href=\"#DejaVuSans-30\"/>\n", " <use transform=\"translate(128.203125 39.046875)scale(0.7)\" xlink:href=\"#DejaVuSans-2212\"/>\n", " <use transform=\"translate(186.855469 39.046875)scale(0.7)\" xlink:href=\"#DejaVuSans-32\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_3\">\n", " <g id=\"line2d_3\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.8;\" x=\"208.548762\" xlink:href=\"#m394cacc6e6\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " <g id=\"text_3\">\n", " <!-- $\\mathdefault{10^{-1}}$ -->\n", " <g transform=\"translate(196.798762 242.738437)scale(0.1 -0.1)\">\n", " <use transform=\"translate(0 0.684375)\" xlink:href=\"#DejaVuSans-31\"/>\n", " <use transform=\"translate(63.623047 0.684375)\" xlink:href=\"#DejaVuSans-30\"/>\n", " <use transform=\"translate(128.203125 38.965625)scale(0.7)\" xlink:href=\"#DejaVuSans-2212\"/>\n", " <use transform=\"translate(186.855469 38.965625)scale(0.7)\" xlink:href=\"#DejaVuSans-31\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_4\">\n", " <g id=\"line2d_4\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.8;\" x=\"279.314053\" xlink:href=\"#m394cacc6e6\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " <g id=\"text_4\">\n", " <!-- $\\mathdefault{10^{0}}$ -->\n", " <g transform=\"translate(270.514053 242.738437)scale(0.1 -0.1)\">\n", " <use transform=\"translate(0 0.765625)\" xlink:href=\"#DejaVuSans-31\"/>\n", " <use transform=\"translate(63.623047 0.765625)\" xlink:href=\"#DejaVuSans-30\"/>\n", " <use transform=\"translate(128.203125 39.046875)scale(0.7)\" xlink:href=\"#DejaVuSans-30\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_5\">\n", " <g id=\"line2d_5\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.8;\" x=\"350.079343\" xlink:href=\"#m394cacc6e6\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " <g id=\"text_5\">\n", " <!-- $\\mathdefault{10^{1}}$ -->\n", " <g transform=\"translate(341.279343 242.738437)scale(0.1 -0.1)\">\n", " <use transform=\"translate(0 0.684375)\" xlink:href=\"#DejaVuSans-31\"/>\n", " <use transform=\"translate(63.623047 0.684375)\" xlink:href=\"#DejaVuSans-30\"/>\n", " <use transform=\"translate(128.203125 38.965625)scale(0.7)\" xlink:href=\"#DejaVuSans-31\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_6\">\n", " <g id=\"line2d_6\">\n", " <defs>\n", " <path d=\"M 0 0 \n", "L 0 2 \n", "\" id=\"m907a74d854\" style=\"stroke:#000000;stroke-width:0.6;\"/>\n", " </defs>\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"51.318991\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_7\">\n", " <g id=\"line2d_7\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"56.0565\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_8\">\n", " <g id=\"line2d_8\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"60.160317\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_9\">\n", " <g id=\"line2d_9\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"63.78014\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_10\">\n", " <g id=\"line2d_10\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"88.320657\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_11\">\n", " <g id=\"line2d_11\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"100.781806\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_12\">\n", " <g id=\"line2d_12\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"109.623132\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_13\">\n", " <g id=\"line2d_13\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"116.480997\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_14\">\n", " <g id=\"line2d_14\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"122.084281\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_15\">\n", " <g id=\"line2d_15\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"126.82179\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_16\">\n", " <g id=\"line2d_16\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"130.925607\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_17\">\n", " <g id=\"line2d_17\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"134.54543\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_18\">\n", " <g id=\"line2d_18\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"159.085947\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_19\">\n", " <g id=\"line2d_19\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"171.547096\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_20\">\n", " <g id=\"line2d_20\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"180.388422\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_21\">\n", " <g id=\"line2d_21\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"187.246287\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_22\">\n", " <g id=\"line2d_22\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"192.849571\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_23\">\n", " <g id=\"line2d_23\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"197.58708\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_24\">\n", " <g id=\"line2d_24\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"201.690897\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_25\">\n", " <g id=\"line2d_25\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"205.31072\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_26\">\n", " <g id=\"line2d_26\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"229.851238\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_27\">\n", " <g id=\"line2d_27\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"242.312387\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_28\">\n", " <g id=\"line2d_28\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"251.153713\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_29\">\n", " <g id=\"line2d_29\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"258.011578\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_30\">\n", " <g id=\"line2d_30\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"263.614862\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_31\">\n", " <g id=\"line2d_31\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"268.352371\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_32\">\n", " <g id=\"line2d_32\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"272.456188\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_33\">\n", " <g id=\"line2d_33\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"276.076011\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_34\">\n", " <g id=\"line2d_34\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"300.616528\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_35\">\n", " <g id=\"line2d_35\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"313.077677\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_36\">\n", " <g id=\"line2d_36\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"321.919003\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_37\">\n", " <g id=\"line2d_37\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"328.776868\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_38\">\n", " <g id=\"line2d_38\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"334.380152\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_39\">\n", " <g id=\"line2d_39\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"339.117661\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_40\">\n", " <g id=\"line2d_40\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"343.221478\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_41\">\n", " <g id=\"line2d_41\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"346.841301\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_42\">\n", " <g id=\"line2d_42\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"371.381818\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"xtick_43\">\n", " <g id=\"line2d_43\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.6;\" x=\"383.842967\" xlink:href=\"#m907a74d854\" y=\"228.14\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"text_6\">\n", " <!-- V -->\n", " <defs>\n", " <path d=\"M 28.609375 0 \n", "L 0.78125 72.90625 \n", "L 11.078125 72.90625 \n", "L 34.1875 11.53125 \n", "L 57.328125 72.90625 \n", "L 67.578125 72.90625 \n", "L 39.796875 0 \n", "z\n", "\" id=\"DejaVuSans-56\"/>\n", " </defs>\n", " <g transform=\"translate(215.779688 256.416562)scale(0.1 -0.1)\">\n", " <use xlink:href=\"#DejaVuSans-56\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"matplotlib.axis_2\">\n", " <g id=\"ytick_1\">\n", " <g id=\"line2d_44\">\n", " <defs>\n", " <path d=\"M 0 0 \n", "L -3.5 0 \n", "\" id=\"mcbf9f1251e\" style=\"stroke:#000000;stroke-width:0.8;\"/>\n", " </defs>\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.8;\" x=\"51.8\" xlink:href=\"#mcbf9f1251e\" y=\"196.214658\"/>\n", " </g>\n", " </g>\n", " <g id=\"text_7\">\n", " <!-- $\\mathdefault{10^{-5}}$ -->\n", " <defs>\n", " <path d=\"M 10.796875 72.90625 \n", "L 49.515625 72.90625 \n", "L 49.515625 64.59375 \n", "L 19.828125 64.59375 \n", "L 19.828125 46.734375 \n", "Q 21.96875 47.46875 24.109375 47.828125 \n", "Q 26.265625 48.1875 28.421875 48.1875 \n", "Q 40.625 48.1875 47.75 41.5 \n", "Q 54.890625 34.8125 54.890625 23.390625 \n", "Q 54.890625 11.625 47.5625 5.09375 \n", "Q 40.234375 -1.421875 26.90625 -1.421875 \n", "Q 22.3125 -1.421875 17.546875 -0.640625 \n", "Q 12.796875 0.140625 7.71875 1.703125 \n", "L 7.71875 11.625 \n", "Q 12.109375 9.234375 16.796875 8.0625 \n", "Q 21.484375 6.890625 26.703125 6.890625 \n", "Q 35.15625 6.890625 40.078125 11.328125 \n", "Q 45.015625 15.765625 45.015625 23.390625 \n", "Q 45.015625 31 40.078125 35.4375 \n", "Q 35.15625 39.890625 26.703125 39.890625 \n", "Q 22.75 39.890625 18.8125 39.015625 \n", "Q 14.890625 38.140625 10.796875 36.28125 \n", "z\n", "\" id=\"DejaVuSans-35\"/>\n", " </defs>\n", " <g transform=\"translate(21.3 200.013876)scale(0.1 -0.1)\">\n", " <use transform=\"translate(0 0.684375)\" xlink:href=\"#DejaVuSans-31\"/>\n", " <use transform=\"translate(63.623047 0.684375)\" xlink:href=\"#DejaVuSans-30\"/>\n", " <use transform=\"translate(128.203125 38.965625)scale(0.7)\" xlink:href=\"#DejaVuSans-2212\"/>\n", " <use transform=\"translate(186.855469 38.965625)scale(0.7)\" xlink:href=\"#DejaVuSans-35\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"ytick_2\">\n", " <g id=\"line2d_45\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.8;\" x=\"51.8\" xlink:href=\"#mcbf9f1251e\" y=\"153.474481\"/>\n", " </g>\n", " </g>\n", " <g id=\"text_8\">\n", " <!-- $\\mathdefault{10^{-3}}$ -->\n", " <g transform=\"translate(21.3 157.2737)scale(0.1 -0.1)\">\n", " <use transform=\"translate(0 0.765625)\" xlink:href=\"#DejaVuSans-31\"/>\n", " <use transform=\"translate(63.623047 0.765625)\" xlink:href=\"#DejaVuSans-30\"/>\n", " <use transform=\"translate(128.203125 39.046875)scale(0.7)\" xlink:href=\"#DejaVuSans-2212\"/>\n", " <use transform=\"translate(186.855469 39.046875)scale(0.7)\" xlink:href=\"#DejaVuSans-33\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"ytick_3\">\n", " <g id=\"line2d_46\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.8;\" x=\"51.8\" xlink:href=\"#mcbf9f1251e\" y=\"110.734305\"/>\n", " </g>\n", " </g>\n", " <g id=\"text_9\">\n", " <!-- $\\mathdefault{10^{-1}}$ -->\n", " <g transform=\"translate(21.3 114.533524)scale(0.1 -0.1)\">\n", " <use transform=\"translate(0 0.684375)\" xlink:href=\"#DejaVuSans-31\"/>\n", " <use transform=\"translate(63.623047 0.684375)\" xlink:href=\"#DejaVuSans-30\"/>\n", " <use transform=\"translate(128.203125 38.965625)scale(0.7)\" xlink:href=\"#DejaVuSans-2212\"/>\n", " <use transform=\"translate(186.855469 38.965625)scale(0.7)\" xlink:href=\"#DejaVuSans-31\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"ytick_4\">\n", " <g id=\"line2d_47\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.8;\" x=\"51.8\" xlink:href=\"#mcbf9f1251e\" y=\"67.994128\"/>\n", " </g>\n", " </g>\n", " <g id=\"text_10\">\n", " <!-- $\\mathdefault{10^{1}}$ -->\n", " <g transform=\"translate(27.2 71.793347)scale(0.1 -0.1)\">\n", " <use transform=\"translate(0 0.684375)\" xlink:href=\"#DejaVuSans-31\"/>\n", " <use transform=\"translate(63.623047 0.684375)\" xlink:href=\"#DejaVuSans-30\"/>\n", " <use transform=\"translate(128.203125 38.965625)scale(0.7)\" xlink:href=\"#DejaVuSans-31\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"ytick_5\">\n", " <g id=\"line2d_48\">\n", " <g>\n", " <use style=\"stroke:#000000;stroke-width:0.8;\" x=\"51.8\" xlink:href=\"#mcbf9f1251e\" y=\"25.253952\"/>\n", " </g>\n", " </g>\n", " <g id=\"text_11\">\n", " <!-- $\\mathdefault{10^{3}}$ -->\n", " <g transform=\"translate(27.2 29.053171)scale(0.1 -0.1)\">\n", " <use transform=\"translate(0 0.765625)\" xlink:href=\"#DejaVuSans-31\"/>\n", " <use transform=\"translate(63.623047 0.765625)\" xlink:href=\"#DejaVuSans-30\"/>\n", " <use transform=\"translate(128.203125 39.046875)scale(0.7)\" xlink:href=\"#DejaVuSans-33\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"text_12\">\n", " <!-- $\\mathrm{A/m^2}$ -->\n", " <defs>\n", " <path d=\"M 34.1875 63.1875 \n", "L 20.796875 26.90625 \n", "L 47.609375 26.90625 \n", "z\n", "M 28.609375 72.90625 \n", "L 39.796875 72.90625 \n", "L 67.578125 0 \n", "L 57.328125 0 \n", "L 50.6875 18.703125 \n", "L 17.828125 18.703125 \n", "L 11.1875 0 \n", "L 0.78125 0 \n", "z\n", "\" id=\"DejaVuSans-41\"/>\n", " <path d=\"M 25.390625 72.90625 \n", "L 33.6875 72.90625 \n", "L 8.296875 -9.28125 \n", "L 0 -9.28125 \n", "z\n", "\" id=\"DejaVuSans-2f\"/>\n", " <path d=\"M 52 44.1875 \n", "Q 55.375 50.25 60.0625 53.125 \n", "Q 64.75 56 71.09375 56 \n", "Q 79.640625 56 84.28125 50.015625 \n", "Q 88.921875 44.046875 88.921875 33.015625 \n", "L 88.921875 0 \n", "L 79.890625 0 \n", "L 79.890625 32.71875 \n", "Q 79.890625 40.578125 77.09375 44.375 \n", "Q 74.3125 48.1875 68.609375 48.1875 \n", "Q 61.625 48.1875 57.5625 43.546875 \n", "Q 53.515625 38.921875 53.515625 30.90625 \n", "L 53.515625 0 \n", "L 44.484375 0 \n", "L 44.484375 32.71875 \n", "Q 44.484375 40.625 41.703125 44.40625 \n", "Q 38.921875 48.1875 33.109375 48.1875 \n", "Q 26.21875 48.1875 22.15625 43.53125 \n", "Q 18.109375 38.875 18.109375 30.90625 \n", "L 18.109375 0 \n", "L 9.078125 0 \n", "L 9.078125 54.6875 \n", "L 18.109375 54.6875 \n", "L 18.109375 46.1875 \n", "Q 21.1875 51.21875 25.484375 53.609375 \n", "Q 29.78125 56 35.6875 56 \n", "Q 41.65625 56 45.828125 52.96875 \n", "Q 50 49.953125 52 44.1875 \n", "z\n", "\" id=\"DejaVuSans-6d\"/>\n", " </defs>\n", " <g transform=\"translate(15.220313 131.82)rotate(-90)scale(0.1 -0.1)\">\n", " <use transform=\"translate(0 0.765625)\" xlink:href=\"#DejaVuSans-41\"/>\n", " <use transform=\"translate(68.408203 0.765625)\" xlink:href=\"#DejaVuSans-2f\"/>\n", " <use transform=\"translate(102.099609 0.765625)\" xlink:href=\"#DejaVuSans-6d\"/>\n", " <use transform=\"translate(200.46875 39.046875)scale(0.7)\" xlink:href=\"#DejaVuSans-32\"/>\n", " </g>\n", " </g>\n", " </g>\n", " <g id=\"line2d_49\">\n", " <path clip-path=\"url(#p7f36f93107)\" d=\"M 67.018182 218.256364 \n", "L 70.092562 217.327937 \n", "L 73.166942 216.399504 \n", "L 76.241322 215.471084 \n", "L 79.315702 214.542638 \n", "L 82.390083 213.614192 \n", "L 85.464463 212.685745 \n", "L 88.538843 211.757292 \n", "L 91.613223 210.828834 \n", "L 94.687603 209.90037 \n", "L 97.761983 208.971891 \n", "L 100.836364 208.043395 \n", "L 103.910744 207.114874 \n", "L 106.985124 206.18635 \n", "L 110.059504 205.257793 \n", "L 113.133884 204.329204 \n", "L 116.208264 203.400576 \n", "L 119.282645 202.471904 \n", "L 122.357025 201.543174 \n", "L 125.431405 200.614376 \n", "L 128.505785 199.685497 \n", "L 131.580165 198.756513 \n", "L 134.654545 197.827405 \n", "L 137.728926 196.898143 \n", "L 140.803306 195.968699 \n", "L 143.877686 195.039022 \n", "L 146.952066 194.109071 \n", "L 150.026446 193.178783 \n", "L 153.100826 192.248079 \n", "L 156.175207 191.316878 \n", "L 159.249587 190.385063 \n", "L 162.323967 189.452504 \n", "L 165.398347 188.519041 \n", "L 168.472727 187.584478 \n", "L 171.547107 186.648581 \n", "L 174.621488 185.711068 \n", "L 177.695868 184.771599 \n", "L 180.770248 183.829769 \n", "L 183.844628 182.885095 \n", "L 186.919008 181.937006 \n", "L 189.993388 180.984831 \n", "L 193.067769 180.027787 \n", "L 196.142149 179.064967 \n", "L 199.216529 178.095338 \n", "L 202.290909 177.117731 \n", "L 205.365289 176.130849 \n", "L 208.439669 175.133274 \n", "L 211.51405 174.123486 \n", "L 214.58843 173.099894 \n", "L 217.66281 172.060871 \n", "L 220.73719 171.004795 \n", "L 223.81157 169.930094 \n", "L 226.88595 168.835287 \n", "L 229.960331 167.719009 \n", "L 233.034711 166.580034 \n", "L 236.109091 165.417271 \n", "L 239.183471 164.229755 \n", "L 242.257851 163.016615 \n", "L 245.332231 161.777046 \n", "L 248.406612 160.510259 \n", "L 251.480992 159.215445 \n", "L 254.555372 157.891724 \n", "L 257.629752 156.538102 \n", "L 260.704132 155.153416 \n", "L 263.778512 153.736285 \n", "L 266.852893 152.28505 \n", "L 269.927273 150.797714 \n", "L 273.001653 149.271866 \n", "L 276.076033 147.704595 \n", "L 279.150413 146.092391 \n", "L 282.224793 144.431017 \n", "L 285.299174 142.715335 \n", "L 288.373554 140.939086 \n", "L 291.447934 139.094579 \n", "L 294.522314 137.172249 \n", "L 297.596694 135.160011 \n", "L 300.671074 133.042302 \n", "L 303.745455 130.798597 \n", "L 306.819835 128.401036 \n", "L 309.894215 125.810463 \n", "L 312.968595 122.96946 \n", "L 316.042975 119.789251 \n", "L 319.117355 116.123111 \n", "L 322.191736 111.707411 \n", "L 325.266116 106.021723 \n", "L 328.340496 98.014963 \n", "L 331.414876 86.690638 \n", "L 334.489256 75.004613 \n", "L 337.563636 66.072913 \n", "L 340.638017 59.381582 \n", "L 343.712397 54.064118 \n", "L 346.786777 49.60968 \n", "L 349.861157 45.730552 \n", "L 352.935537 42.255771 \n", "L 356.009917 39.077458 \n", "L 359.084298 36.123892 \n", "L 362.158678 33.345288 \n", "L 365.233058 30.705829 \n", "L 368.307438 28.178981 \n", "L 371.381818 25.74459 \n", "\" style=\"fill:none;stroke:#1f77b4;stroke-linecap:square;stroke-width:1.5;\"/>\n", " </g>\n", " <g id=\"line2d_50\">\n", " <path clip-path=\"url(#p7f36f93107)\" d=\"M 67.018182 178.838367 \n", "L 70.092562 177.909939 \n", "L 73.166942 176.981508 \n", "L 76.241322 176.053074 \n", "L 79.315702 175.124637 \n", "L 82.390083 174.196196 \n", "L 85.464463 173.267749 \n", "L 88.538843 172.339297 \n", "L 91.613223 171.410836 \n", "L 94.687603 170.482366 \n", "L 97.761983 169.553885 \n", "L 100.836364 168.62539 \n", "L 103.910744 167.696878 \n", "L 106.985124 166.768344 \n", "L 110.059504 165.839786 \n", "L 113.133884 164.911196 \n", "L 116.208264 163.982568 \n", "L 119.282645 163.053894 \n", "L 122.357025 162.125163 \n", "L 125.431405 161.196363 \n", "L 128.505785 160.267478 \n", "L 131.580165 159.338491 \n", "L 134.654545 158.409377 \n", "L 137.728926 157.48011 \n", "L 140.803306 156.550654 \n", "L 143.877686 155.62097 \n", "L 146.952066 154.691007 \n", "L 150.026446 153.760703 \n", "L 153.100826 152.829985 \n", "L 156.175207 151.89876 \n", "L 159.249587 150.966919 \n", "L 162.323967 150.034328 \n", "L 165.398347 149.100826 \n", "L 168.472727 148.166215 \n", "L 171.547107 147.230261 \n", "L 174.621488 146.292676 \n", "L 177.695868 145.353121 \n", "L 180.770248 144.411185 \n", "L 183.844628 143.466383 \n", "L 186.919008 142.518138 \n", "L 189.993388 141.565773 \n", "L 193.067769 140.608499 \n", "L 196.142149 139.6454 \n", "L 199.216529 138.675433 \n", "L 202.290909 137.697417 \n", "L 205.365289 136.710043 \n", "L 208.439669 135.711875 \n", "L 211.51405 134.701378 \n", "L 214.58843 133.676941 \n", "L 217.66281 132.636914 \n", "L 220.73719 131.579654 \n", "L 223.81157 130.503566 \n", "L 226.88595 129.407147 \n", "L 229.960331 128.289013 \n", "L 233.034711 127.147925 \n", "L 236.109091 125.982786 \n", "L 239.183471 124.792638 \n", "L 242.257851 123.576637 \n", "L 245.332231 122.334025 \n", "L 248.406612 121.064097 \n", "L 251.480992 119.766171 \n", "L 254.555372 118.439548 \n", "L 257.629752 117.083484 \n", "L 260.704132 115.69716 \n", "L 263.778512 114.279643 \n", "L 266.852893 112.829862 \n", "L 269.927273 111.34657 \n", "L 273.001653 109.828308 \n", "L 276.076033 108.273363 \n", "L 279.150413 106.679726 \n", "L 282.224793 105.04503 \n", "L 285.299174 103.366488 \n", "L 288.373554 101.640803 \n", "L 291.447934 99.864061 \n", "L 294.522314 98.03159 \n", "L 297.596694 96.137778 \n", "L 300.671074 94.175837 \n", "L 303.745455 92.137491 \n", "L 306.819835 90.012575 \n", "L 309.894215 87.78852 \n", "L 312.968595 85.449708 \n", "L 316.042975 82.976725 \n", "L 319.117355 80.345638 \n", "L 322.191736 77.527717 \n", "L 325.266116 74.490683 \n", "L 328.340496 71.203932 \n", "L 331.414876 67.651806 \n", "L 334.489256 63.857203 \n", "L 337.563636 59.904398 \n", "L 340.638017 55.930017 \n", "L 343.712397 52.070394 \n", "L 346.786777 48.41118 \n", "L 349.861157 44.980061 \n", "L 352.935537 41.7678 \n", "L 356.009917 38.749593 \n", "L 359.084298 35.89737 \n", "L 362.158678 33.185065 \n", "L 365.233058 30.590242 \n", "L 368.307438 28.094196 \n", "L 371.381818 25.681519 \n", "\" style=\"fill:none;stroke:#ff7f0e;stroke-linecap:square;stroke-width:1.5;\"/>\n", " </g>\n", " <g id=\"line2d_51\">\n", " <path clip-path=\"url(#p7f36f93107)\" d=\"M 67.018182 147.331219 \n", "L 70.092562 146.402791 \n", "L 73.166942 145.47436 \n", "L 76.241322 144.545927 \n", "L 79.315702 143.61749 \n", "L 82.390083 142.689049 \n", "L 85.464463 141.760603 \n", "L 88.538843 140.832151 \n", "L 91.613223 139.903692 \n", "L 94.687603 138.975223 \n", "L 97.761983 138.046743 \n", "L 100.836364 137.118249 \n", "L 103.910744 136.189739 \n", "L 106.985124 135.261208 \n", "L 110.059504 134.332652 \n", "L 113.133884 133.404066 \n", "L 116.208264 132.475442 \n", "L 119.282645 131.546773 \n", "L 122.357025 130.618049 \n", "L 125.431405 129.689256 \n", "L 128.505785 128.760381 \n", "L 131.580165 127.831405 \n", "L 134.654545 126.902305 \n", "L 137.728926 125.973055 \n", "L 140.803306 125.043621 \n", "L 143.877686 124.113963 \n", "L 146.952066 123.184031 \n", "L 150.026446 122.253765 \n", "L 153.100826 121.323093 \n", "L 156.175207 120.391926 \n", "L 159.249587 119.460154 \n", "L 162.323967 118.527649 \n", "L 165.398347 117.59425 \n", "L 168.472727 116.659766 \n", "L 171.547107 115.723966 \n", "L 174.621488 114.78657 \n", "L 177.695868 113.847245 \n", "L 180.770248 112.905589 \n", "L 183.844628 111.961128 \n", "L 186.919008 111.013299 \n", "L 189.993388 110.061441 \n", "L 193.067769 109.104781 \n", "L 196.142149 108.142431 \n", "L 199.216529 107.173372 \n", "L 202.290909 106.196459 \n", "L 205.365289 105.210421 \n", "L 208.439669 104.213872 \n", "L 211.51405 103.205334 \n", "L 214.58843 102.183264 \n", "L 217.66281 101.146097 \n", "L 220.73719 100.09229 \n", "L 223.81157 99.020367 \n", "L 226.88595 97.928971 \n", "L 229.960331 96.816893 \n", "L 233.034711 95.683106 \n", "L 236.109091 94.52677 \n", "L 239.183471 93.347233 \n", "L 242.257851 92.14402 \n", "L 245.332231 90.916817 \n", "L 248.406612 89.665447 \n", "L 251.480992 88.389849 \n", "L 254.555372 87.090066 \n", "L 257.629752 85.766221 \n", "L 260.704132 84.41851 \n", "L 263.778512 83.047185 \n", "L 266.852893 81.652547 \n", "L 269.927273 80.234935 \n", "L 273.001653 78.794719 \n", "L 276.076033 77.332292 \n", "L 279.150413 75.848064 \n", "L 282.224793 74.342457 \n", "L 285.299174 72.815895 \n", "L 288.373554 71.268809 \n", "L 291.447934 69.701622 \n", "L 294.522314 68.114755 \n", "L 297.596694 66.508618 \n", "L 300.671074 64.883611 \n", "L 303.745455 63.240122 \n", "L 306.819835 61.578528 \n", "L 309.894215 59.899194 \n", "L 312.968595 58.202473 \n", "L 316.042975 56.488711 \n", "L 319.117355 54.758252 \n", "L 322.191736 53.011437 \n", "L 325.266116 51.248614 \n", "L 328.340496 49.470144 \n", "L 331.414876 47.676407 \n", "L 334.489256 45.867807 \n", "L 337.563636 44.044786 \n", "L 340.638017 42.207833 \n", "L 343.712397 40.357487 \n", "L 346.786777 38.494349 \n", "L 349.861157 36.619087 \n", "L 352.935537 34.732443 \n", "L 356.009917 32.835235 \n", "L 359.084298 30.928356 \n", "L 362.158678 29.012772 \n", "L 365.233058 27.089513 \n", "L 368.307438 25.159658 \n", "L 371.381818 23.224323 \n", "\" style=\"fill:none;stroke:#2ca02c;stroke-linecap:square;stroke-width:1.5;\"/>\n", " </g>\n", " <g id=\"line2d_52\">\n", " <path clip-path=\"url(#p7f36f93107)\" d=\"M 67.018182 142.19012 \n", "L 70.092562 141.261692 \n", "L 73.166942 140.333261 \n", "L 76.241322 139.404828 \n", "L 79.315702 138.476392 \n", "L 82.390083 137.547951 \n", "L 85.464463 136.619505 \n", "L 88.538843 135.691053 \n", "L 91.613223 134.762594 \n", "L 94.687603 133.834126 \n", "L 97.761983 132.905646 \n", "L 100.836364 131.977153 \n", "L 103.910744 131.048643 \n", "L 106.985124 130.120113 \n", "L 110.059504 129.191558 \n", "L 113.133884 128.262973 \n", "L 116.208264 127.33435 \n", "L 119.282645 126.405683 \n", "L 122.357025 125.47696 \n", "L 125.431405 124.54817 \n", "L 128.505785 123.619298 \n", "L 131.580165 122.690325 \n", "L 134.654545 121.76123 \n", "L 137.728926 120.831985 \n", "L 140.803306 119.902558 \n", "L 143.877686 118.972907 \n", "L 146.952066 118.042985 \n", "L 150.026446 117.112731 \n", "L 153.100826 116.182073 \n", "L 156.175207 115.250923 \n", "L 159.249587 114.319173 \n", "L 162.323967 113.386694 \n", "L 165.398347 112.453327 \n", "L 168.472727 111.518882 \n", "L 171.547107 110.583129 \n", "L 174.621488 109.64579 \n", "L 177.695868 108.706535 \n", "L 180.770248 107.764965 \n", "L 183.844628 106.820608 \n", "L 186.919008 105.872905 \n", "L 189.993388 104.9212 \n", "L 193.067769 103.964726 \n", "L 196.142149 103.002601 \n", "L 199.216529 102.033815 \n", "L 202.290909 101.057232 \n", "L 205.365289 100.071591 \n", "L 208.439669 99.075521 \n", "L 211.51405 98.067556 \n", "L 214.58843 97.046174 \n", "L 217.66281 96.009828 \n", "L 220.73719 94.956997 \n", "L 223.81157 93.886236 \n", "L 226.88595 92.796214 \n", "L 229.960331 91.68576 \n", "L 233.034711 90.553885 \n", "L 236.109091 89.399795 \n", "L 239.183471 88.22289 \n", "L 242.257851 87.022755 \n", "L 245.332231 85.799139 \n", "L 248.406612 84.55194 \n", "L 251.480992 83.281185 \n", "L 254.555372 81.987006 \n", "L 257.629752 80.669632 \n", "L 260.704132 79.329374 \n", "L 263.778512 77.96661 \n", "L 266.852893 76.58178 \n", "L 269.927273 75.175373 \n", "L 273.001653 73.747922 \n", "L 276.076033 72.299997 \n", "L 279.150413 70.832194 \n", "L 282.224793 69.345135 \n", "L 285.299174 67.839459 \n", "L 288.373554 66.315815 \n", "L 291.447934 64.774862 \n", "L 294.522314 63.217261 \n", "L 297.596694 61.643674 \n", "L 300.671074 60.054756 \n", "L 303.745455 58.451157 \n", "L 306.819835 56.833518 \n", "L 309.894215 55.202465 \n", "L 312.968595 53.558614 \n", "L 316.042975 51.902562 \n", "L 319.117355 50.234892 \n", "L 322.191736 48.556172 \n", "L 325.266116 46.866949 \n", "L 328.340496 45.167755 \n", "L 331.414876 43.459103 \n", "L 334.489256 41.741487 \n", "L 337.563636 40.015382 \n", "L 340.638017 38.281241 \n", "L 343.712397 36.539499 \n", "L 346.786777 34.790569 \n", "L 349.861157 33.034843 \n", "L 352.935537 31.272695 \n", "L 356.009917 29.50448 \n", "L 359.084298 27.730535 \n", "L 362.158678 25.95118 \n", "L 365.233058 24.166721 \n", "L 368.307438 22.377448 \n", "L 371.381818 20.583636 \n", "\" style=\"fill:none;stroke:#d62728;stroke-linecap:square;stroke-width:1.5;\"/>\n", " </g>\n", " <g id=\"patch_3\">\n", " <path d=\"M 51.8 228.14 \n", "L 51.8 10.7 \n", "\" style=\"fill:none;stroke:#000000;stroke-linecap:square;stroke-linejoin:miter;stroke-width:0.8;\"/>\n", " </g>\n", " <g id=\"patch_4\">\n", " <path d=\"M 386.6 228.14 \n", "L 386.6 10.7 \n", "\" style=\"fill:none;stroke:#000000;stroke-linecap:square;stroke-linejoin:miter;stroke-width:0.8;\"/>\n", " </g>\n", " <g id=\"patch_5\">\n", " <path d=\"M 51.8 228.14 \n", "L 386.6 228.14 \n", "\" style=\"fill:none;stroke:#000000;stroke-linecap:square;stroke-linejoin:miter;stroke-width:0.8;\"/>\n", " </g>\n", " <g id=\"patch_6\">\n", " <path d=\"M 51.8 10.7 \n", "L 386.6 10.7 \n", "\" style=\"fill:none;stroke:#000000;stroke-linecap:square;stroke-linejoin:miter;stroke-width:0.8;\"/>\n", " </g>\n", " <g id=\"legend_1\">\n", " <g id=\"line2d_53\">\n", " <path d=\"M 60.8 23.798437 \n", "L 80.8 23.798437 \n", "\" style=\"fill:none;stroke:#1f77b4;stroke-linecap:square;stroke-width:1.5;\"/>\n", " </g>\n", " <g id=\"line2d_54\"/>\n", " <g id=\"text_13\">\n", " <!-- trap depth 0.45 eV -->\n", " <defs>\n", " <path d=\"M 18.3125 70.21875 \n", "L 18.3125 54.6875 \n", "L 36.8125 54.6875 \n", "L 36.8125 47.703125 \n", "L 18.3125 47.703125 \n", "L 18.3125 18.015625 \n", "Q 18.3125 11.328125 20.140625 9.421875 \n", "Q 21.96875 7.515625 27.59375 7.515625 \n", "L 36.8125 7.515625 \n", "L 36.8125 0 \n", "L 27.59375 0 \n", "Q 17.1875 0 13.234375 3.875 \n", "Q 9.28125 7.765625 9.28125 18.015625 \n", "L 9.28125 47.703125 \n", "L 2.6875 47.703125 \n", "L 2.6875 54.6875 \n", "L 9.28125 54.6875 \n", "L 9.28125 70.21875 \n", "z\n", "\" id=\"DejaVuSans-74\"/>\n", " <path d=\"M 41.109375 46.296875 \n", "Q 39.59375 47.171875 37.8125 47.578125 \n", "Q 36.03125 48 33.890625 48 \n", "Q 26.265625 48 22.1875 43.046875 \n", "Q 18.109375 38.09375 18.109375 28.8125 \n", "L 18.109375 0 \n", "L 9.078125 0 \n", "L 9.078125 54.6875 \n", "L 18.109375 54.6875 \n", "L 18.109375 46.1875 \n", "Q 20.953125 51.171875 25.484375 53.578125 \n", "Q 30.03125 56 36.53125 56 \n", "Q 37.453125 56 38.578125 55.875 \n", "Q 39.703125 55.765625 41.0625 55.515625 \n", "z\n", "\" id=\"DejaVuSans-72\"/>\n", " <path d=\"M 34.28125 27.484375 \n", "Q 23.390625 27.484375 19.1875 25 \n", "Q 14.984375 22.515625 14.984375 16.5 \n", "Q 14.984375 11.71875 18.140625 8.90625 \n", "Q 21.296875 6.109375 26.703125 6.109375 \n", "Q 34.1875 6.109375 38.703125 11.40625 \n", "Q 43.21875 16.703125 43.21875 25.484375 \n", "L 43.21875 27.484375 \n", "z\n", "M 52.203125 31.203125 \n", "L 52.203125 0 \n", "L 43.21875 0 \n", "L 43.21875 8.296875 \n", "Q 40.140625 3.328125 35.546875 0.953125 \n", "Q 30.953125 -1.421875 24.3125 -1.421875 \n", "Q 15.921875 -1.421875 10.953125 3.296875 \n", "Q 6 8.015625 6 15.921875 \n", "Q 6 25.140625 12.171875 29.828125 \n", "Q 18.359375 34.515625 30.609375 34.515625 \n", "L 43.21875 34.515625 \n", "L 43.21875 35.40625 \n", "Q 43.21875 41.609375 39.140625 45 \n", "Q 35.0625 48.390625 27.6875 48.390625 \n", "Q 23 48.390625 18.546875 47.265625 \n", "Q 14.109375 46.140625 10.015625 43.890625 \n", "L 10.015625 52.203125 \n", "Q 14.9375 54.109375 19.578125 55.046875 \n", "Q 24.21875 56 28.609375 56 \n", "Q 40.484375 56 46.34375 49.84375 \n", "Q 52.203125 43.703125 52.203125 31.203125 \n", "z\n", "\" id=\"DejaVuSans-61\"/>\n", " <path d=\"M 18.109375 8.203125 \n", "L 18.109375 -20.796875 \n", "L 9.078125 -20.796875 \n", "L 9.078125 54.6875 \n", "L 18.109375 54.6875 \n", "L 18.109375 46.390625 \n", "Q 20.953125 51.265625 25.265625 53.625 \n", "Q 29.59375 56 35.59375 56 \n", "Q 45.5625 56 51.78125 48.09375 \n", "Q 58.015625 40.1875 58.015625 27.296875 \n", "Q 58.015625 14.40625 51.78125 6.484375 \n", "Q 45.5625 -1.421875 35.59375 -1.421875 \n", "Q 29.59375 -1.421875 25.265625 0.953125 \n", "Q 20.953125 3.328125 18.109375 8.203125 \n", "z\n", "M 48.6875 27.296875 \n", "Q 48.6875 37.203125 44.609375 42.84375 \n", "Q 40.53125 48.484375 33.40625 48.484375 \n", "Q 26.265625 48.484375 22.1875 42.84375 \n", "Q 18.109375 37.203125 18.109375 27.296875 \n", "Q 18.109375 17.390625 22.1875 11.75 \n", "Q 26.265625 6.109375 33.40625 6.109375 \n", "Q 40.53125 6.109375 44.609375 11.75 \n", "Q 48.6875 17.390625 48.6875 27.296875 \n", "z\n", "\" id=\"DejaVuSans-70\"/>\n", " <path id=\"DejaVuSans-20\"/>\n", " <path d=\"M 45.40625 46.390625 \n", "L 45.40625 75.984375 \n", "L 54.390625 75.984375 \n", "L 54.390625 0 \n", "L 45.40625 0 \n", "L 45.40625 8.203125 \n", "Q 42.578125 3.328125 38.25 0.953125 \n", "Q 33.9375 -1.421875 27.875 -1.421875 \n", "Q 17.96875 -1.421875 11.734375 6.484375 \n", "Q 5.515625 14.40625 5.515625 27.296875 \n", "Q 5.515625 40.1875 11.734375 48.09375 \n", "Q 17.96875 56 27.875 56 \n", "Q 33.9375 56 38.25 53.625 \n", "Q 42.578125 51.265625 45.40625 46.390625 \n", "z\n", "M 14.796875 27.296875 \n", "Q 14.796875 17.390625 18.875 11.75 \n", "Q 22.953125 6.109375 30.078125 6.109375 \n", "Q 37.203125 6.109375 41.296875 11.75 \n", "Q 45.40625 17.390625 45.40625 27.296875 \n", "Q 45.40625 37.203125 41.296875 42.84375 \n", "Q 37.203125 48.484375 30.078125 48.484375 \n", "Q 22.953125 48.484375 18.875 42.84375 \n", "Q 14.796875 37.203125 14.796875 27.296875 \n", "z\n", "\" id=\"DejaVuSans-64\"/>\n", " <path d=\"M 56.203125 29.59375 \n", "L 56.203125 25.203125 \n", "L 14.890625 25.203125 \n", "Q 15.484375 15.921875 20.484375 11.0625 \n", "Q 25.484375 6.203125 34.421875 6.203125 \n", "Q 39.59375 6.203125 44.453125 7.46875 \n", "Q 49.3125 8.734375 54.109375 11.28125 \n", "L 54.109375 2.78125 \n", "Q 49.265625 0.734375 44.1875 -0.34375 \n", "Q 39.109375 -1.421875 33.890625 -1.421875 \n", "Q 20.796875 -1.421875 13.15625 6.1875 \n", "Q 5.515625 13.8125 5.515625 26.8125 \n", "Q 5.515625 40.234375 12.765625 48.109375 \n", "Q 20.015625 56 32.328125 56 \n", "Q 43.359375 56 49.78125 48.890625 \n", "Q 56.203125 41.796875 56.203125 29.59375 \n", "z\n", "M 47.21875 32.234375 \n", "Q 47.125 39.59375 43.09375 43.984375 \n", "Q 39.0625 48.390625 32.421875 48.390625 \n", "Q 24.90625 48.390625 20.390625 44.140625 \n", "Q 15.875 39.890625 15.1875 32.171875 \n", "z\n", "\" id=\"DejaVuSans-65\"/>\n", " <path d=\"M 54.890625 33.015625 \n", "L 54.890625 0 \n", "L 45.90625 0 \n", "L 45.90625 32.71875 \n", "Q 45.90625 40.484375 42.875 44.328125 \n", "Q 39.84375 48.1875 33.796875 48.1875 \n", "Q 26.515625 48.1875 22.3125 43.546875 \n", "Q 18.109375 38.921875 18.109375 30.90625 \n", "L 18.109375 0 \n", "L 9.078125 0 \n", "L 9.078125 75.984375 \n", "L 18.109375 75.984375 \n", "L 18.109375 46.1875 \n", "Q 21.34375 51.125 25.703125 53.5625 \n", "Q 30.078125 56 35.796875 56 \n", "Q 45.21875 56 50.046875 50.171875 \n", "Q 54.890625 44.34375 54.890625 33.015625 \n", "z\n", "\" id=\"DejaVuSans-68\"/>\n", " <path d=\"M 10.6875 12.40625 \n", "L 21 12.40625 \n", "L 21 0 \n", "L 10.6875 0 \n", "z\n", "\" id=\"DejaVuSans-2e\"/>\n", " <path d=\"M 37.796875 64.3125 \n", "L 12.890625 25.390625 \n", "L 37.796875 25.390625 \n", "z\n", "M 35.203125 72.90625 \n", "L 47.609375 72.90625 \n", "L 47.609375 25.390625 \n", "L 58.015625 25.390625 \n", "L 58.015625 17.1875 \n", "L 47.609375 17.1875 \n", "L 47.609375 0 \n", "L 37.796875 0 \n", "L 37.796875 17.1875 \n", "L 4.890625 17.1875 \n", "L 4.890625 26.703125 \n", "z\n", "\" id=\"DejaVuSans-34\"/>\n", " </defs>\n", " <g transform=\"translate(88.8 27.298437)scale(0.1 -0.1)\">\n", " <use xlink:href=\"#DejaVuSans-74\"/>\n", " <use x=\"39.208984\" xlink:href=\"#DejaVuSans-72\"/>\n", " <use x=\"80.322266\" xlink:href=\"#DejaVuSans-61\"/>\n", " <use x=\"141.601562\" xlink:href=\"#DejaVuSans-70\"/>\n", " <use x=\"205.078125\" xlink:href=\"#DejaVuSans-20\"/>\n", " <use x=\"236.865234\" xlink:href=\"#DejaVuSans-64\"/>\n", " <use x=\"300.341797\" xlink:href=\"#DejaVuSans-65\"/>\n", " <use x=\"361.865234\" xlink:href=\"#DejaVuSans-70\"/>\n", " <use x=\"425.341797\" xlink:href=\"#DejaVuSans-74\"/>\n", " <use x=\"464.550781\" xlink:href=\"#DejaVuSans-68\"/>\n", " <use x=\"527.929688\" xlink:href=\"#DejaVuSans-20\"/>\n", " <use x=\"559.716797\" xlink:href=\"#DejaVuSans-30\"/>\n", " <use x=\"623.339844\" xlink:href=\"#DejaVuSans-2e\"/>\n", " <use x=\"655.126953\" xlink:href=\"#DejaVuSans-34\"/>\n", " <use x=\"718.75\" xlink:href=\"#DejaVuSans-35\"/>\n", " <use x=\"782.373047\" xlink:href=\"#DejaVuSans-20\"/>\n", " <use x=\"814.160156\" xlink:href=\"#DejaVuSans-65\"/>\n", " <use x=\"875.683594\" xlink:href=\"#DejaVuSans-56\"/>\n", " </g>\n", " </g>\n", " <g id=\"line2d_55\">\n", " <path d=\"M 60.8 38.476562 \n", "L 80.8 38.476562 \n", "\" style=\"fill:none;stroke:#ff7f0e;stroke-linecap:square;stroke-width:1.5;\"/>\n", " </g>\n", " <g id=\"line2d_56\"/>\n", " <g id=\"text_14\">\n", " <!-- trap depth 0.33 eV -->\n", " <g transform=\"translate(88.8 41.976562)scale(0.1 -0.1)\">\n", " <use xlink:href=\"#DejaVuSans-74\"/>\n", " <use x=\"39.208984\" xlink:href=\"#DejaVuSans-72\"/>\n", " <use x=\"80.322266\" xlink:href=\"#DejaVuSans-61\"/>\n", " <use x=\"141.601562\" xlink:href=\"#DejaVuSans-70\"/>\n", " <use x=\"205.078125\" xlink:href=\"#DejaVuSans-20\"/>\n", " <use x=\"236.865234\" xlink:href=\"#DejaVuSans-64\"/>\n", " <use x=\"300.341797\" xlink:href=\"#DejaVuSans-65\"/>\n", " <use x=\"361.865234\" xlink:href=\"#DejaVuSans-70\"/>\n", " <use x=\"425.341797\" xlink:href=\"#DejaVuSans-74\"/>\n", " <use x=\"464.550781\" xlink:href=\"#DejaVuSans-68\"/>\n", " <use x=\"527.929688\" xlink:href=\"#DejaVuSans-20\"/>\n", " <use x=\"559.716797\" xlink:href=\"#DejaVuSans-30\"/>\n", " <use x=\"623.339844\" xlink:href=\"#DejaVuSans-2e\"/>\n", " <use x=\"655.126953\" xlink:href=\"#DejaVuSans-33\"/>\n", " <use x=\"718.75\" xlink:href=\"#DejaVuSans-33\"/>\n", " <use x=\"782.373047\" xlink:href=\"#DejaVuSans-20\"/>\n", " <use x=\"814.160156\" xlink:href=\"#DejaVuSans-65\"/>\n", " <use x=\"875.683594\" xlink:href=\"#DejaVuSans-56\"/>\n", " </g>\n", " </g>\n", " <g id=\"line2d_57\">\n", " <path d=\"M 60.8 53.154687 \n", "L 80.8 53.154687 \n", "\" style=\"fill:none;stroke:#2ca02c;stroke-linecap:square;stroke-width:1.5;\"/>\n", " </g>\n", " <g id=\"line2d_58\"/>\n", " <g id=\"text_15\">\n", " <!-- trap depth 0.21 eV -->\n", " <g transform=\"translate(88.8 56.654687)scale(0.1 -0.1)\">\n", " <use xlink:href=\"#DejaVuSans-74\"/>\n", " <use x=\"39.208984\" xlink:href=\"#DejaVuSans-72\"/>\n", " <use x=\"80.322266\" xlink:href=\"#DejaVuSans-61\"/>\n", " <use x=\"141.601562\" xlink:href=\"#DejaVuSans-70\"/>\n", " <use x=\"205.078125\" xlink:href=\"#DejaVuSans-20\"/>\n", " <use x=\"236.865234\" xlink:href=\"#DejaVuSans-64\"/>\n", " <use x=\"300.341797\" xlink:href=\"#DejaVuSans-65\"/>\n", " <use x=\"361.865234\" xlink:href=\"#DejaVuSans-70\"/>\n", " <use x=\"425.341797\" xlink:href=\"#DejaVuSans-74\"/>\n", " <use x=\"464.550781\" xlink:href=\"#DejaVuSans-68\"/>\n", " <use x=\"527.929688\" xlink:href=\"#DejaVuSans-20\"/>\n", " <use x=\"559.716797\" xlink:href=\"#DejaVuSans-30\"/>\n", " <use x=\"623.339844\" xlink:href=\"#DejaVuSans-2e\"/>\n", " <use x=\"655.126953\" xlink:href=\"#DejaVuSans-32\"/>\n", " <use x=\"718.75\" xlink:href=\"#DejaVuSans-31\"/>\n", " <use x=\"782.373047\" xlink:href=\"#DejaVuSans-20\"/>\n", " <use x=\"814.160156\" xlink:href=\"#DejaVuSans-65\"/>\n", " <use x=\"875.683594\" xlink:href=\"#DejaVuSans-56\"/>\n", " </g>\n", " </g>\n", " <g id=\"line2d_59\">\n", " <path d=\"M 60.8 67.832812 \n", "L 80.8 67.832812 \n", "\" style=\"fill:none;stroke:#d62728;stroke-linecap:square;stroke-width:1.5;\"/>\n", " </g>\n", " <g id=\"line2d_60\"/>\n", " <g id=\"text_16\">\n", " <!-- no traps -->\n", " <defs>\n", " <path d=\"M 54.890625 33.015625 \n", "L 54.890625 0 \n", "L 45.90625 0 \n", "L 45.90625 32.71875 \n", "Q 45.90625 40.484375 42.875 44.328125 \n", "Q 39.84375 48.1875 33.796875 48.1875 \n", "Q 26.515625 48.1875 22.3125 43.546875 \n", "Q 18.109375 38.921875 18.109375 30.90625 \n", "L 18.109375 0 \n", "L 9.078125 0 \n", "L 9.078125 54.6875 \n", "L 18.109375 54.6875 \n", "L 18.109375 46.1875 \n", "Q 21.34375 51.125 25.703125 53.5625 \n", "Q 30.078125 56 35.796875 56 \n", "Q 45.21875 56 50.046875 50.171875 \n", "Q 54.890625 44.34375 54.890625 33.015625 \n", "z\n", "\" id=\"DejaVuSans-6e\"/>\n", " <path d=\"M 30.609375 48.390625 \n", "Q 23.390625 48.390625 19.1875 42.75 \n", "Q 14.984375 37.109375 14.984375 27.296875 \n", "Q 14.984375 17.484375 19.15625 11.84375 \n", "Q 23.34375 6.203125 30.609375 6.203125 \n", "Q 37.796875 6.203125 41.984375 11.859375 \n", "Q 46.1875 17.53125 46.1875 27.296875 \n", "Q 46.1875 37.015625 41.984375 42.703125 \n", "Q 37.796875 48.390625 30.609375 48.390625 \n", "z\n", "M 30.609375 56 \n", "Q 42.328125 56 49.015625 48.375 \n", "Q 55.71875 40.765625 55.71875 27.296875 \n", "Q 55.71875 13.875 49.015625 6.21875 \n", "Q 42.328125 -1.421875 30.609375 -1.421875 \n", "Q 18.84375 -1.421875 12.171875 6.21875 \n", "Q 5.515625 13.875 5.515625 27.296875 \n", "Q 5.515625 40.765625 12.171875 48.375 \n", "Q 18.84375 56 30.609375 56 \n", "z\n", "\" id=\"DejaVuSans-6f\"/>\n", " <path d=\"M 44.28125 53.078125 \n", "L 44.28125 44.578125 \n", "Q 40.484375 46.53125 36.375 47.5 \n", "Q 32.28125 48.484375 27.875 48.484375 \n", "Q 21.1875 48.484375 17.84375 46.4375 \n", "Q 14.5 44.390625 14.5 40.28125 \n", "Q 14.5 37.15625 16.890625 35.375 \n", "Q 19.28125 33.59375 26.515625 31.984375 \n", "L 29.59375 31.296875 \n", "Q 39.15625 29.25 43.1875 25.515625 \n", "Q 47.21875 21.78125 47.21875 15.09375 \n", "Q 47.21875 7.46875 41.1875 3.015625 \n", "Q 35.15625 -1.421875 24.609375 -1.421875 \n", "Q 20.21875 -1.421875 15.453125 -0.5625 \n", "Q 10.6875 0.296875 5.421875 2 \n", "L 5.421875 11.28125 \n", "Q 10.40625 8.6875 15.234375 7.390625 \n", "Q 20.0625 6.109375 24.8125 6.109375 \n", "Q 31.15625 6.109375 34.5625 8.28125 \n", "Q 37.984375 10.453125 37.984375 14.40625 \n", "Q 37.984375 18.0625 35.515625 20.015625 \n", "Q 33.0625 21.96875 24.703125 23.78125 \n", "L 21.578125 24.515625 \n", "Q 13.234375 26.265625 9.515625 29.90625 \n", "Q 5.8125 33.546875 5.8125 39.890625 \n", "Q 5.8125 47.609375 11.28125 51.796875 \n", "Q 16.75 56 26.8125 56 \n", "Q 31.78125 56 36.171875 55.265625 \n", "Q 40.578125 54.546875 44.28125 53.078125 \n", "z\n", "\" id=\"DejaVuSans-73\"/>\n", " </defs>\n", " <g transform=\"translate(88.8 71.332812)scale(0.1 -0.1)\">\n", " <use xlink:href=\"#DejaVuSans-6e\"/>\n", " <use x=\"63.378906\" xlink:href=\"#DejaVuSans-6f\"/>\n", " <use x=\"124.560547\" xlink:href=\"#DejaVuSans-20\"/>\n", " <use x=\"156.347656\" xlink:href=\"#DejaVuSans-74\"/>\n", " <use x=\"195.556641\" xlink:href=\"#DejaVuSans-72\"/>\n", " <use x=\"236.669922\" xlink:href=\"#DejaVuSans-61\"/>\n", " <use x=\"297.949219\" xlink:href=\"#DejaVuSans-70\"/>\n", " <use x=\"361.425781\" xlink:href=\"#DejaVuSans-73\"/>\n", " </g>\n", " </g>\n", " </g>\n", " </g>\n", " </g>\n", " <defs>\n", " <clipPath id=\"p7f36f93107\">\n", " <rect height=\"217.44\" width=\"334.8\" x=\"51.8\" y=\"10.7\"/>\n", " </clipPath>\n", " </defs>\n", "</svg>\n" ], "text/plain": [ "<matplotlib.figure.Figure at 0x7f040a1eee10>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "c=oedes.context(model)\n", "\n", "for tdepth,ct in c.sweep(params, trapenergy_sweep):\n", " for _ in ct.sweep(ct.params, voltage_sweep):\n", " pass\n", " v,j = ct.teval(voltage_sweep.parameter_name,'J')\n", " oedes.testing.store(j, rtol=1e-3) # for automatic testing\n", " if tdepth < 0:\n", " label = 'no traps'\n", " else:\n", " label = 'trap depth %s eV' % tdepth\n", " plt.plot(v,j,label=label)\n", "plt.xscale('log')\n", "plt.yscale('log')\n", "plt.xlabel('V')\n", "plt.ylabel(r'$\\mathrm{A/m^2}$')\n", "plt.legend(loc=0,frameon=False);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\n", "---\n", "This file is a part of __oedes__, an open source organic electronic device \n", "simulator. For more information, see <https://www.github.com/mzszym/oedes>.\n" ] } ], "metadata": { "anaconda-cloud": {}, "hide_input": false, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.3" }, "varInspector": { "cols": { "lenName": 16, "lenType": 16, "lenVar": 40 }, "kernels_config": { "python": { "delete_cmd_postfix": "", "delete_cmd_prefix": "del ", "library": "var_list.py", "varRefreshCmd": "print(var_dic_list())" }, "r": { "delete_cmd_postfix": ") ", "delete_cmd_prefix": "rm(", "library": "var_list.r", "varRefreshCmd": "cat(var_dic_list()) " } }, "types_to_exclude": [ "module", "function", "builtin_function_or_method", "instance", "_Feature" ], "window_display": false } }, "nbformat": 4, "nbformat_minor": 1 }
agpl-3.0
autumn-lake/TalkingData-Mobile-User-Demographics
ipynb_notebooks/brand_model_predictions_train-Copy1.ipynb
1
72432
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import pandas as pd\n", "import numpy as np\n", "import xgboost as xgb\n", "%matplotlib inline\n", "#import seaborn as sns\n", "import matplotlib.pyplot as plt\n", "import os\n", "from sklearn.preprocessing import LabelEncoder\n", "from scipy.sparse import csr_matrix, hstack\n", "from sklearn.linear_model import LogisticRegression\n", "from sklearn.cross_validation import StratifiedKFold\n", "from sklearn.metrics import log_loss" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "datadir = 'input/'\n", "gatrain = pd.read_csv(os.path.join(datadir,'gender_age_train.csv'),\n", " index_col='device_id')\n", "gatest = pd.read_csv(os.path.join(datadir,'gender_age_test.csv'),\n", " index_col = 'device_id')\n", "phone = pd.read_csv(os.path.join(datadir,'phone_brand_device_model.csv'))\n", "# Get rid of duplicate device ids in phone\n", "phone = phone.drop_duplicates('device_id',keep='first').set_index('device_id')\n", "events = pd.read_csv(os.path.join(datadir,'events.csv'),\n", " parse_dates=['timestamp'], index_col='event_id')\n", "appevents = pd.read_csv(os.path.join(datadir,'app_events.csv'), \n", " usecols=['event_id','app_id','is_active'],\n", " dtype={'is_active':bool})\n", "applabels = pd.read_csv(os.path.join(datadir,'app_labels.csv'))" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "gatrain['trainrow'] = np.arange(gatrain.shape[0])\n", "gatest['testrow'] = np.arange(gatest.shape[0])" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Brand features: train shape (74645, 131), test shape (112071, 131)\n" ] } ], "source": [ "brandencoder = LabelEncoder().fit(phone.phone_brand)\n", "phone['brand'] = brandencoder.transform(phone['phone_brand'])\n", "gatrain['brand'] = phone['brand']\n", "gatest['brand'] = phone['brand']" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Model features: train shape (74645, 1667), test shape (112071, 1667)\n" ] } ], "source": [ "m = phone.phone_brand.str.cat(phone.device_model)\n", "modelencoder = LabelEncoder().fit(m)\n", "phone['model'] = modelencoder.transform(m)\n", "gatrain['model'] = phone['model']\n", "gatest['model'] = phone['model']\n", "Xtr_model = csr_matrix((np.ones(gatrain.shape[0]), \n", " (gatrain.trainrow, gatrain.model)))\n", "Xte_model = csr_matrix((np.ones(gatest.shape[0]), \n", " (gatest.testrow, gatest.model)))\n", "print('Model features: train shape {}, test shape {}'.format(Xtr_model.shape, Xte_model.shape))" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "gatrain['nid_order'] = gatrain.trainrow/74645.0\n", "gatest['nid_order'] = gatest.testrow/112071.0" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": true }, "outputs": [], "source": [ "gatrain['to_group'] = gatrain.gender.astype(str)+'.'+gatrain.age.astype(str)+'.'+gatrain.brand.astype(str)+'.'+gatrain.model.astype(str)" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(22286,)" ] }, "execution_count": 36, "metadata": {}, "output_type": "execute_result" } ], "source": [ "gatrain.to_group.unique().shape" ] }, { "cell_type": "code", "execution_count": 50, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def consecutive(data, stepsize=1):\n", " return np.split(data, np.where(np.diff(data) != stepsize)[0]+1)" ] }, { "cell_type": "code", "execution_count": 79, "metadata": { "collapsed": false }, "outputs": [], "source": [ "b = (consecutive(gatrain.groupby('to_group').get_group('M.35.51.843').row))" ] }, { "cell_type": "code", "execution_count": 89, "metadata": { "collapsed": false }, "outputs": [], "source": [ "c = []\n", "for a in b:\n", " if a.shape[0]>1:\n", " c.append(a)" ] }, { "cell_type": "code", "execution_count": 94, "metadata": { "collapsed": true }, "outputs": [], "source": [ "dfff = gatrain.groupby('to_group').get_group('M.35.51.843')" ] }, { "cell_type": "code", "execution_count": 122, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "35" ] }, "execution_count": 122, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dfff.ix[c[2].index].age.unique()[0]" ] }, { "cell_type": "code", "execution_count": 92, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "device_id\n", " 7730336322330977347 30249\n", "-7930822997577387097 30250\n", "-2388870181361346627 30251\n", "-1868895392123057059 30252\n", "-5173448531969194156 30253\n", " 3611133061034895936 30254\n", "Name: row, dtype: int64" ] }, "execution_count": 92, "metadata": {}, "output_type": "execute_result" } ], "source": [ "c[2]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "a = np.array([0, 47, 48, 49, 50, 97, 98, 99])\n", "consecutive(a)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def fn(df):\n", " " ] }, { "cell_type": "code", "execution_count": 49, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>gender</th>\n", " <th>age</th>\n", " <th>group</th>\n", " <th>row</th>\n", " <th>brand</th>\n", " <th>model</th>\n", " <th>nid_order</th>\n", " <th>to_group</th>\n", " </tr>\n", " <tr>\n", " <th>device_id</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>-8076087639492063270</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>0</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.000000</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>-2897161552818060146</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>1</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.000013</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>-8260683887967679142</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>2</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.000027</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>-3004255342038425026</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>1503</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.020135</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>-3856099721241843282</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>7834</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.104950</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>3785807096413589862</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>19063</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.255382</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>-6817867161853542033</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>19064</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.255396</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>-5912925350327253443</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>25616</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.343171</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>-6583754955843663064</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>27909</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.373890</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>7730336322330977347</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>30249</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.405238</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>-7930822997577387097</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>30250</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.405252</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>-2388870181361346627</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>30251</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.405265</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>-1868895392123057059</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>30252</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.405278</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>-5173448531969194156</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>30253</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.405292</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>3611133061034895936</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>30254</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.405305</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>4911741329309003046</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>33357</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.446875</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>7870487321814275389</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>36955</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.495077</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>7965530088888189119</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>37936</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.508219</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>8172489867249543426</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>50346</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.674473</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>7506944712138586138</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>71438</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.957037</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " gender age group row brand model nid_order \\\n", "device_id \n", "-8076087639492063270 M 35 M32-38 0 51 843 0.000000 \n", "-2897161552818060146 M 35 M32-38 1 51 843 0.000013 \n", "-8260683887967679142 M 35 M32-38 2 51 843 0.000027 \n", "-3004255342038425026 M 35 M32-38 1503 51 843 0.020135 \n", "-3856099721241843282 M 35 M32-38 7834 51 843 0.104950 \n", " 3785807096413589862 M 35 M32-38 19063 51 843 0.255382 \n", "-6817867161853542033 M 35 M32-38 19064 51 843 0.255396 \n", "-5912925350327253443 M 35 M32-38 25616 51 843 0.343171 \n", "-6583754955843663064 M 35 M32-38 27909 51 843 0.373890 \n", " 7730336322330977347 M 35 M32-38 30249 51 843 0.405238 \n", "-7930822997577387097 M 35 M32-38 30250 51 843 0.405252 \n", "-2388870181361346627 M 35 M32-38 30251 51 843 0.405265 \n", "-1868895392123057059 M 35 M32-38 30252 51 843 0.405278 \n", "-5173448531969194156 M 35 M32-38 30253 51 843 0.405292 \n", " 3611133061034895936 M 35 M32-38 30254 51 843 0.405305 \n", " 4911741329309003046 M 35 M32-38 33357 51 843 0.446875 \n", " 7870487321814275389 M 35 M32-38 36955 51 843 0.495077 \n", " 7965530088888189119 M 35 M32-38 37936 51 843 0.508219 \n", " 8172489867249543426 M 35 M32-38 50346 51 843 0.674473 \n", " 7506944712138586138 M 35 M32-38 71438 51 843 0.957037 \n", "\n", " to_group \n", "device_id \n", "-8076087639492063270 M.35.51.843 \n", "-2897161552818060146 M.35.51.843 \n", "-8260683887967679142 M.35.51.843 \n", "-3004255342038425026 M.35.51.843 \n", "-3856099721241843282 M.35.51.843 \n", " 3785807096413589862 M.35.51.843 \n", "-6817867161853542033 M.35.51.843 \n", "-5912925350327253443 M.35.51.843 \n", "-6583754955843663064 M.35.51.843 \n", " 7730336322330977347 M.35.51.843 \n", "-7930822997577387097 M.35.51.843 \n", "-2388870181361346627 M.35.51.843 \n", "-1868895392123057059 M.35.51.843 \n", "-5173448531969194156 M.35.51.843 \n", " 3611133061034895936 M.35.51.843 \n", " 4911741329309003046 M.35.51.843 \n", " 7870487321814275389 M.35.51.843 \n", " 7965530088888189119 M.35.51.843 \n", " 8172489867249543426 M.35.51.843 \n", " 7506944712138586138 M.35.51.843 " ] }, "execution_count": 49, "metadata": {}, "output_type": "execute_result" } ], "source": [ "gatrain.groupby('to_group').get_group('M.35.51.843')" ] }, { "cell_type": "code", "execution_count": 45, "metadata": { "collapsed": false }, "outputs": [], "source": [ "gatrain.rename(columns = {'trainrow':'row'},inplace=True)\n", "gatest.rename(columns = {'testrow':'row'},inplace=True)" ] }, { "cell_type": "code", "execution_count": 48, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>gender</th>\n", " <th>age</th>\n", " <th>group</th>\n", " <th>row</th>\n", " <th>brand</th>\n", " <th>model</th>\n", " <th>nid_order</th>\n", " <th>to_group</th>\n", " </tr>\n", " <tr>\n", " <th>device_id</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>-8076087639492063270</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>0</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.000000</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>-2897161552818060146</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>1</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.000013</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " <tr>\n", " <th>-8260683887967679142</th>\n", " <td>M</td>\n", " <td>35</td>\n", " <td>M32-38</td>\n", " <td>2</td>\n", " <td>51</td>\n", " <td>843</td>\n", " <td>0.000027</td>\n", " <td>M.35.51.843</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " gender age group row brand model nid_order \\\n", "device_id \n", "-8076087639492063270 M 35 M32-38 0 51 843 0.000000 \n", "-2897161552818060146 M 35 M32-38 1 51 843 0.000013 \n", "-8260683887967679142 M 35 M32-38 2 51 843 0.000027 \n", "\n", " to_group \n", "device_id \n", "-8076087639492063270 M.35.51.843 \n", "-2897161552818060146 M.35.51.843 \n", "-8260683887967679142 M.35.51.843 " ] }, "execution_count": 48, "metadata": {}, "output_type": "execute_result" } ], "source": [ "gatrain.head(3)" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/home/choudharyk0408/anaconda3/lib/python3.5/site-packages/ipykernel/__main__.py:1: FutureWarning: sort(columns=....) is deprecated, use sort_values(by=.....)\n", " if __name__ == '__main__':\n" ] }, { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>gender</th>\n", " <th>age</th>\n", " <th>group</th>\n", " <th>trainrow</th>\n", " <th>brand</th>\n", " <th>model</th>\n", " <th>nid_order</th>\n", " </tr>\n", " <tr>\n", " <th>device_id</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>-1514522073062744223</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>1747</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023404</td>\n", " </tr>\n", " <tr>\n", " <th>6470880409257242515</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>1748</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023418</td>\n", " </tr>\n", " <tr>\n", " <th>-4419870558975286229</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>2337</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.031308</td>\n", " </tr>\n", " <tr>\n", " <th>5337062131783056211</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>2338</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.031322</td>\n", " </tr>\n", " <tr>\n", " <th>1468360098941880503</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>8435</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.113002</td>\n", " </tr>\n", " <tr>\n", " <th>-7545531996316504574</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>14189</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.190086</td>\n", " </tr>\n", " <tr>\n", " <th>-4592269048894431292</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>20168</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.270186</td>\n", " </tr>\n", " <tr>\n", " <th>-5170075361247382687</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>21862</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.292880</td>\n", " </tr>\n", " <tr>\n", " <th>7307915610732339838</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>28550</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.382477</td>\n", " </tr>\n", " <tr>\n", " <th>1875747693228750338</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>33671</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.451082</td>\n", " </tr>\n", " <tr>\n", " <th>-5817592372351039383</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>33989</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.455342</td>\n", " </tr>\n", " <tr>\n", " <th>-395028458593261871</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>37094</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.496939</td>\n", " </tr>\n", " <tr>\n", " <th>-5133717835691860222</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>38731</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.518869</td>\n", " </tr>\n", " <tr>\n", " <th>2240026245915475493</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>39127</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.524174</td>\n", " </tr>\n", " <tr>\n", " <th>-138697364536218225</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>39815</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.533391</td>\n", " </tr>\n", " <tr>\n", " <th>2266350483379413359</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>40829</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.546976</td>\n", " </tr>\n", " <tr>\n", " <th>-5100729930163809334</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>42427</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.568384</td>\n", " </tr>\n", " <tr>\n", " <th>-3146729047545392599</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>44631</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.597910</td>\n", " </tr>\n", " <tr>\n", " <th>1454299924031323941</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>45488</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.609391</td>\n", " </tr>\n", " <tr>\n", " <th>5203906691287243692</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>46638</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.624797</td>\n", " </tr>\n", " <tr>\n", " <th>-1263503361246752971</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>50958</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.682671</td>\n", " </tr>\n", " <tr>\n", " <th>2627902004438162577</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>53094</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.711287</td>\n", " </tr>\n", " <tr>\n", " <th>1086504437772528441</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>54949</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.736138</td>\n", " </tr>\n", " <tr>\n", " <th>3091495756468605113</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>55404</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.742233</td>\n", " </tr>\n", " <tr>\n", " <th>6670015992432569404</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>58941</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.789618</td>\n", " </tr>\n", " <tr>\n", " <th>8332600536964471817</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>59199</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.793074</td>\n", " </tr>\n", " <tr>\n", " <th>-1384368104082735209</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>59368</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.795338</td>\n", " </tr>\n", " <tr>\n", " <th>4228050073296285578</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>60775</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.814187</td>\n", " </tr>\n", " <tr>\n", " <th>8568423981851538404</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>61725</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.826914</td>\n", " </tr>\n", " <tr>\n", " <th>5922310508620077236</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>64435</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.863219</td>\n", " </tr>\n", " <tr>\n", " <th>-7823279218172061020</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>69249</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.927711</td>\n", " </tr>\n", " <tr>\n", " <th>3225266468603606378</th>\n", " <td>F</td>\n", " <td>23</td>\n", " <td>F23-</td>\n", " <td>72261</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.968062</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " gender age group trainrow brand model nid_order\n", "device_id \n", "-1514522073062744223 F 23 F23- 1747 51 865 0.023404\n", " 6470880409257242515 F 23 F23- 1748 51 865 0.023418\n", "-4419870558975286229 F 23 F23- 2337 51 865 0.031308\n", " 5337062131783056211 F 23 F23- 2338 51 865 0.031322\n", " 1468360098941880503 F 23 F23- 8435 51 865 0.113002\n", "-7545531996316504574 F 23 F23- 14189 51 865 0.190086\n", "-4592269048894431292 F 23 F23- 20168 51 865 0.270186\n", "-5170075361247382687 F 23 F23- 21862 51 865 0.292880\n", " 7307915610732339838 F 23 F23- 28550 51 865 0.382477\n", " 1875747693228750338 F 23 F23- 33671 51 865 0.451082\n", "-5817592372351039383 F 23 F23- 33989 51 865 0.455342\n", "-395028458593261871 F 23 F23- 37094 51 865 0.496939\n", "-5133717835691860222 F 23 F23- 38731 51 865 0.518869\n", " 2240026245915475493 F 23 F23- 39127 51 865 0.524174\n", "-138697364536218225 F 23 F23- 39815 51 865 0.533391\n", " 2266350483379413359 F 23 F23- 40829 51 865 0.546976\n", "-5100729930163809334 F 23 F23- 42427 51 865 0.568384\n", "-3146729047545392599 F 23 F23- 44631 51 865 0.597910\n", " 1454299924031323941 F 23 F23- 45488 51 865 0.609391\n", " 5203906691287243692 F 23 F23- 46638 51 865 0.624797\n", "-1263503361246752971 F 23 F23- 50958 51 865 0.682671\n", " 2627902004438162577 F 23 F23- 53094 51 865 0.711287\n", " 1086504437772528441 F 23 F23- 54949 51 865 0.736138\n", " 3091495756468605113 F 23 F23- 55404 51 865 0.742233\n", " 6670015992432569404 F 23 F23- 58941 51 865 0.789618\n", " 8332600536964471817 F 23 F23- 59199 51 865 0.793074\n", "-1384368104082735209 F 23 F23- 59368 51 865 0.795338\n", " 4228050073296285578 F 23 F23- 60775 51 865 0.814187\n", " 8568423981851538404 F 23 F23- 61725 51 865 0.826914\n", " 5922310508620077236 F 23 F23- 64435 51 865 0.863219\n", "-7823279218172061020 F 23 F23- 69249 51 865 0.927711\n", " 3225266468603606378 F 23 F23- 72261 51 865 0.968062" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "gatrain[(gatrain.brand==51)&(gatrain.model==865)&(gatrain.gender=='F')&(gatrain.age==23)].sort('trainrow')" ] }, { "cell_type": "code", "execution_count": 137, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/home/choudharyk0408/anaconda3/lib/python3.5/site-packages/ipykernel/__main__.py:1: FutureWarning: sort(columns=....) is deprecated, use sort_values(by=.....)\n", " if __name__ == '__main__':\n" ] } ], "source": [ "aa = gatest[(gatest.brand==51)&(gatest.model==865)&(gatest.nid_order>0.022404)&(gatest.nid_order<0.024404)].sort('row')" ] }, { "cell_type": "code", "execution_count": 142, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>row</th>\n", " <th>brand</th>\n", " <th>model</th>\n", " <th>nid_order</th>\n", " </tr>\n", " <tr>\n", " <th>device_id</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>-3623170018309118233</th>\n", " <td>2525</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.022530</td>\n", " </tr>\n", " <tr>\n", " <th>2487686740931125480</th>\n", " <td>2586</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023075</td>\n", " </tr>\n", " <tr>\n", " <th>5088294672372642064</th>\n", " <td>2615</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023333</td>\n", " </tr>\n", " <tr>\n", " <th>546580604917490299</th>\n", " <td>2633</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023494</td>\n", " </tr>\n", " <tr>\n", " <th>-7244054779945689026</th>\n", " <td>2634</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023503</td>\n", " </tr>\n", " <tr>\n", " <th>-8143541372720077385</th>\n", " <td>2635</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023512</td>\n", " </tr>\n", " <tr>\n", " <th>6720146428478292796</th>\n", " <td>2636</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023521</td>\n", " </tr>\n", " <tr>\n", " <th>-7149135054462404365</th>\n", " <td>2637</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023530</td>\n", " </tr>\n", " <tr>\n", " <th>-8639621067774183392</th>\n", " <td>2693</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.024029</td>\n", " </tr>\n", " <tr>\n", " <th>2995406478677868061</th>\n", " <td>2702</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.024110</td>\n", " </tr>\n", " <tr>\n", " <th>-7537310668694706565</th>\n", " <td>2710</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.024181</td>\n", " </tr>\n", " <tr>\n", " <th>-5127371964891533947</th>\n", " <td>2711</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.024190</td>\n", " </tr>\n", " <tr>\n", " <th>8076883275986912427</th>\n", " <td>2723</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.024297</td>\n", " </tr>\n", " <tr>\n", " <th>3207012926517067353</th>\n", " <td>2734</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.024395</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " row brand model nid_order\n", "device_id \n", "-3623170018309118233 2525 51 865 0.022530\n", " 2487686740931125480 2586 51 865 0.023075\n", " 5088294672372642064 2615 51 865 0.023333\n", " 546580604917490299 2633 51 865 0.023494\n", "-7244054779945689026 2634 51 865 0.023503\n", "-8143541372720077385 2635 51 865 0.023512\n", " 6720146428478292796 2636 51 865 0.023521\n", "-7149135054462404365 2637 51 865 0.023530\n", "-8639621067774183392 2693 51 865 0.024029\n", " 2995406478677868061 2702 51 865 0.024110\n", "-7537310668694706565 2710 51 865 0.024181\n", "-5127371964891533947 2711 51 865 0.024190\n", " 8076883275986912427 2723 51 865 0.024297\n", " 3207012926517067353 2734 51 865 0.024395" ] }, "execution_count": 142, "metadata": {}, "output_type": "execute_result" } ], "source": [ "aa" ] }, { "cell_type": "code", "execution_count": 134, "metadata": { "collapsed": true }, "outputs": [], "source": [ "minn = []\n", "indd = []" ] }, { "cell_type": "code", "execution_count": 141, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "2" ] }, "execution_count": 141, "metadata": {}, "output_type": "execute_result" } ], "source": [ "n = [20, 15, 2, 20]\n", "n.index(min(n))" ] }, { "cell_type": "code", "execution_count": 57, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>testrow</th>\n", " <th>brand</th>\n", " <th>model</th>\n", " <th>nid_order</th>\n", " </tr>\n", " <tr>\n", " <th>device_id</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>289797889702373958</th>\n", " <td>6</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.000054</td>\n", " </tr>\n", " <tr>\n", " <th>-402874006399730161</th>\n", " <td>7</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.000062</td>\n", " </tr>\n", " <tr>\n", " <th>9097600484609173263</th>\n", " <td>11</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.000098</td>\n", " </tr>\n", " <tr>\n", " <th>2693830763629647823</th>\n", " <td>39</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.000348</td>\n", " </tr>\n", " <tr>\n", " <th>1204441603415701350</th>\n", " <td>110</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.000982</td>\n", " </tr>\n", " <tr>\n", " <th>-8238621464594527487</th>\n", " <td>114</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.001017</td>\n", " </tr>\n", " <tr>\n", " <th>-909754136142754671</th>\n", " <td>146</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.001303</td>\n", " </tr>\n", " <tr>\n", " <th>6401864917272734079</th>\n", " <td>167</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.001490</td>\n", " </tr>\n", " <tr>\n", " <th>-4853711190831052408</th>\n", " <td>175</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.001562</td>\n", " </tr>\n", " <tr>\n", " <th>7819211934480182530</th>\n", " <td>231</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.002061</td>\n", " </tr>\n", " <tr>\n", " <th>3486491796197050386</th>\n", " <td>283</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.002525</td>\n", " </tr>\n", " <tr>\n", " <th>-2032140894645147320</th>\n", " <td>291</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.002597</td>\n", " </tr>\n", " <tr>\n", " <th>8083690337488717776</th>\n", " <td>293</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.002614</td>\n", " </tr>\n", " <tr>\n", " <th>-2732605356167017618</th>\n", " <td>298</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.002659</td>\n", " </tr>\n", " <tr>\n", " <th>2372518004955572104</th>\n", " <td>349</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.003114</td>\n", " </tr>\n", " <tr>\n", " <th>2082156917158501633</th>\n", " <td>462</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.004122</td>\n", " </tr>\n", " <tr>\n", " <th>-5804428340181648092</th>\n", " <td>463</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.004131</td>\n", " </tr>\n", " <tr>\n", " <th>-1870126142057296039</th>\n", " <td>464</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.004140</td>\n", " </tr>\n", " <tr>\n", " <th>3714551751911319504</th>\n", " <td>491</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.004381</td>\n", " </tr>\n", " <tr>\n", " <th>-7508757443826578623</th>\n", " <td>509</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.004542</td>\n", " </tr>\n", " <tr>\n", " <th>4572177471558602220</th>\n", " <td>515</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.004595</td>\n", " </tr>\n", " <tr>\n", " <th>-89023623271963460</th>\n", " <td>540</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.004818</td>\n", " </tr>\n", " <tr>\n", " <th>-566418905514002495</th>\n", " <td>547</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.004881</td>\n", " </tr>\n", " <tr>\n", " <th>4080425412466410536</th>\n", " <td>548</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.004890</td>\n", " </tr>\n", " <tr>\n", " <th>578440539702876185</th>\n", " <td>607</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.005416</td>\n", " </tr>\n", " <tr>\n", " <th>6684656605720064167</th>\n", " <td>637</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.005684</td>\n", " </tr>\n", " <tr>\n", " <th>6161644296922250084</th>\n", " <td>660</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.005889</td>\n", " </tr>\n", " <tr>\n", " <th>5541021260758575649</th>\n", " <td>663</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.005916</td>\n", " </tr>\n", " <tr>\n", " <th>7117905310968782552</th>\n", " <td>736</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.006567</td>\n", " </tr>\n", " <tr>\n", " <th>3200649323686437371</th>\n", " <td>860</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.007674</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>-6335579319619432277</th>\n", " <td>111184</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.992085</td>\n", " </tr>\n", " <tr>\n", " <th>1338297834567924196</th>\n", " <td>111236</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.992549</td>\n", " </tr>\n", " <tr>\n", " <th>-6198001326045392872</th>\n", " <td>111257</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.992737</td>\n", " </tr>\n", " <tr>\n", " <th>-1979118031009175975</th>\n", " <td>111281</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.992951</td>\n", " </tr>\n", " <tr>\n", " <th>-4919659039818802850</th>\n", " <td>111294</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.993067</td>\n", " </tr>\n", " <tr>\n", " <th>2743601425366622516</th>\n", " <td>111300</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.993120</td>\n", " </tr>\n", " <tr>\n", " <th>-1759477283428589540</th>\n", " <td>111322</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.993317</td>\n", " </tr>\n", " <tr>\n", " <th>-3602627967287187009</th>\n", " <td>111392</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.993941</td>\n", " </tr>\n", " <tr>\n", " <th>8450485656929732520</th>\n", " <td>111412</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.994120</td>\n", " </tr>\n", " <tr>\n", " <th>-8751376860233813148</th>\n", " <td>111464</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.994584</td>\n", " </tr>\n", " <tr>\n", " <th>8059657919520547729</th>\n", " <td>111556</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.995405</td>\n", " </tr>\n", " <tr>\n", " <th>-3945291109893450265</th>\n", " <td>111574</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.995565</td>\n", " </tr>\n", " <tr>\n", " <th>-8220358830338043605</th>\n", " <td>111588</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.995690</td>\n", " </tr>\n", " <tr>\n", " <th>5106441187509922381</th>\n", " <td>111723</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.996895</td>\n", " </tr>\n", " <tr>\n", " <th>-7560252825371744749</th>\n", " <td>111767</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.997287</td>\n", " </tr>\n", " <tr>\n", " <th>8526456817258396184</th>\n", " <td>111774</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.997350</td>\n", " </tr>\n", " <tr>\n", " <th>-7528852312392970917</th>\n", " <td>111779</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.997395</td>\n", " </tr>\n", " <tr>\n", " <th>-1908244349203986570</th>\n", " <td>111780</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.997403</td>\n", " </tr>\n", " <tr>\n", " <th>7146951191172598859</th>\n", " <td>111800</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.997582</td>\n", " </tr>\n", " <tr>\n", " <th>-7408557110645542938</th>\n", " <td>111813</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.997698</td>\n", " </tr>\n", " <tr>\n", " <th>5626778993593847403</th>\n", " <td>111822</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.997778</td>\n", " </tr>\n", " <tr>\n", " <th>-1913074978634534166</th>\n", " <td>111823</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.997787</td>\n", " </tr>\n", " <tr>\n", " <th>7251365033698477315</th>\n", " <td>111827</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.997823</td>\n", " </tr>\n", " <tr>\n", " <th>3792110264395404294</th>\n", " <td>111861</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.998126</td>\n", " </tr>\n", " <tr>\n", " <th>6616527960052451201</th>\n", " <td>111899</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.998465</td>\n", " </tr>\n", " <tr>\n", " <th>-61480623941671617</th>\n", " <td>111939</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.998822</td>\n", " </tr>\n", " <tr>\n", " <th>5334002691888335993</th>\n", " <td>111977</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.999161</td>\n", " </tr>\n", " <tr>\n", " <th>-3349248816806720194</th>\n", " <td>111993</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.999304</td>\n", " </tr>\n", " <tr>\n", " <th>298321523675771919</th>\n", " <td>112013</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.999482</td>\n", " </tr>\n", " <tr>\n", " <th>818534825520551359</th>\n", " <td>112067</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.999964</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>4330 rows × 4 columns</p>\n", "</div>" ], "text/plain": [ " testrow brand model nid_order\n", "device_id \n", " 289797889702373958 6 51 865 0.000054\n", "-402874006399730161 7 51 865 0.000062\n", " 9097600484609173263 11 51 865 0.000098\n", " 2693830763629647823 39 51 865 0.000348\n", " 1204441603415701350 110 51 865 0.000982\n", "-8238621464594527487 114 51 865 0.001017\n", "-909754136142754671 146 51 865 0.001303\n", " 6401864917272734079 167 51 865 0.001490\n", "-4853711190831052408 175 51 865 0.001562\n", " 7819211934480182530 231 51 865 0.002061\n", " 3486491796197050386 283 51 865 0.002525\n", "-2032140894645147320 291 51 865 0.002597\n", " 8083690337488717776 293 51 865 0.002614\n", "-2732605356167017618 298 51 865 0.002659\n", " 2372518004955572104 349 51 865 0.003114\n", " 2082156917158501633 462 51 865 0.004122\n", "-5804428340181648092 463 51 865 0.004131\n", "-1870126142057296039 464 51 865 0.004140\n", " 3714551751911319504 491 51 865 0.004381\n", "-7508757443826578623 509 51 865 0.004542\n", " 4572177471558602220 515 51 865 0.004595\n", "-89023623271963460 540 51 865 0.004818\n", "-566418905514002495 547 51 865 0.004881\n", " 4080425412466410536 548 51 865 0.004890\n", " 578440539702876185 607 51 865 0.005416\n", " 6684656605720064167 637 51 865 0.005684\n", " 6161644296922250084 660 51 865 0.005889\n", " 5541021260758575649 663 51 865 0.005916\n", " 7117905310968782552 736 51 865 0.006567\n", " 3200649323686437371 860 51 865 0.007674\n", "... ... ... ... ...\n", "-6335579319619432277 111184 51 865 0.992085\n", " 1338297834567924196 111236 51 865 0.992549\n", "-6198001326045392872 111257 51 865 0.992737\n", "-1979118031009175975 111281 51 865 0.992951\n", "-4919659039818802850 111294 51 865 0.993067\n", " 2743601425366622516 111300 51 865 0.993120\n", "-1759477283428589540 111322 51 865 0.993317\n", "-3602627967287187009 111392 51 865 0.993941\n", " 8450485656929732520 111412 51 865 0.994120\n", "-8751376860233813148 111464 51 865 0.994584\n", " 8059657919520547729 111556 51 865 0.995405\n", "-3945291109893450265 111574 51 865 0.995565\n", "-8220358830338043605 111588 51 865 0.995690\n", " 5106441187509922381 111723 51 865 0.996895\n", "-7560252825371744749 111767 51 865 0.997287\n", " 8526456817258396184 111774 51 865 0.997350\n", "-7528852312392970917 111779 51 865 0.997395\n", "-1908244349203986570 111780 51 865 0.997403\n", " 7146951191172598859 111800 51 865 0.997582\n", "-7408557110645542938 111813 51 865 0.997698\n", " 5626778993593847403 111822 51 865 0.997778\n", "-1913074978634534166 111823 51 865 0.997787\n", " 7251365033698477315 111827 51 865 0.997823\n", " 3792110264395404294 111861 51 865 0.998126\n", " 6616527960052451201 111899 51 865 0.998465\n", "-61480623941671617 111939 51 865 0.998822\n", " 5334002691888335993 111977 51 865 0.999161\n", "-3349248816806720194 111993 51 865 0.999304\n", " 298321523675771919 112013 51 865 0.999482\n", " 818534825520551359 112067 51 865 0.999964\n", "\n", "[4330 rows x 4 columns]" ] }, "execution_count": 57, "metadata": {}, "output_type": "execute_result" } ], "source": [ "gatest[(gatest.brand==51)&(gatest.model==865)]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "Xtrain = hstack((Xtr_brand, Xtr_model), format='csr')\n", "Xtest = hstack((Xte_brand, Xte_model), format='csr')\n", "print('All features: train shape {}, test shape {}'.format(Xtrain.shape, Xtest.shape))" ] }, { "cell_type": "code", "execution_count": 143, "metadata": { "collapsed": true }, "outputs": [], "source": [ "bb = aa" ] }, { "cell_type": "code", "execution_count": 145, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>row</th>\n", " <th>brand</th>\n", " <th>model</th>\n", " <th>nid_order</th>\n", " <th>gender</th>\n", " <th>age</th>\n", " </tr>\n", " <tr>\n", " <th>device_id</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>-3623170018309118233</th>\n", " <td>2525</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.022530</td>\n", " <td>X</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2487686740931125480</th>\n", " <td>2586</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023075</td>\n", " <td>X</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>5088294672372642064</th>\n", " <td>2615</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023333</td>\n", " <td>X</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>546580604917490299</th>\n", " <td>2633</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023494</td>\n", " <td>X</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>-7244054779945689026</th>\n", " <td>2634</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023503</td>\n", " <td>X</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>-8143541372720077385</th>\n", " <td>2635</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023512</td>\n", " <td>X</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>6720146428478292796</th>\n", " <td>2636</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023521</td>\n", " <td>X</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>-7149135054462404365</th>\n", " <td>2637</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.023530</td>\n", " <td>X</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>-8639621067774183392</th>\n", " <td>2693</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.024029</td>\n", " <td>X</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2995406478677868061</th>\n", " <td>2702</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.024110</td>\n", " <td>X</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>-7537310668694706565</th>\n", " <td>2710</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.024181</td>\n", " <td>X</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>-5127371964891533947</th>\n", " <td>2711</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.024190</td>\n", " <td>X</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>8076883275986912427</th>\n", " <td>2723</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.024297</td>\n", " <td>X</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>3207012926517067353</th>\n", " <td>2734</td>\n", " <td>51</td>\n", " <td>865</td>\n", " <td>0.024395</td>\n", " <td>X</td>\n", " <td>0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " row brand model nid_order gender age\n", "device_id \n", "-3623170018309118233 2525 51 865 0.022530 X 0\n", " 2487686740931125480 2586 51 865 0.023075 X 0\n", " 5088294672372642064 2615 51 865 0.023333 X 0\n", " 546580604917490299 2633 51 865 0.023494 X 0\n", "-7244054779945689026 2634 51 865 0.023503 X 0\n", "-8143541372720077385 2635 51 865 0.023512 X 0\n", " 6720146428478292796 2636 51 865 0.023521 X 0\n", "-7149135054462404365 2637 51 865 0.023530 X 0\n", "-8639621067774183392 2693 51 865 0.024029 X 0\n", " 2995406478677868061 2702 51 865 0.024110 X 0\n", "-7537310668694706565 2710 51 865 0.024181 X 0\n", "-5127371964891533947 2711 51 865 0.024190 X 0\n", " 8076883275986912427 2723 51 865 0.024297 X 0\n", " 3207012926517067353 2734 51 865 0.024395 X 0" ] }, "execution_count": 145, "metadata": {}, "output_type": "execute_result" } ], "source": [ "bb['gender'] = 'X'\n", "bb['age'] = 0\n", "bb" ] }, { "cell_type": "code", "execution_count": 155, "metadata": { "collapsed": false }, "outputs": [], "source": [ "bb.ix[[6720146428478292796,2995406478677868061]].gender\n", "bb.ix[[6720146428478292796,2995406478677868061]].age = 24" ] }, { "cell_type": "code", "execution_count": 162, "metadata": { "collapsed": false }, "outputs": [ { "ename": "ValueError", "evalue": "The truth value of an array with more than one element is ambiguous. Use a.any() or a.all()", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mValueError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-162-faa48f218302>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[0;32m----> 1\u001b[0;31m \u001b[0mbb\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0mbb\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mindex\u001b[0m \u001b[0;32min\u001b[0m \u001b[0;34m[\u001b[0m\u001b[0;36m6720146428478292796\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;36m2995406478677868061\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;31mValueError\u001b[0m: The truth value of an array with more than one element is ambiguous. Use a.any() or a.all()" ] } ], "source": [ "bb[bb.index in [6720146428478292796,2995406478677868061]]" ] }, { "cell_type": "code", "execution_count": 163, "metadata": { "collapsed": true }, "outputs": [], "source": [ "cc = pd.Series('X',index=bb.index)" ] }, { "cell_type": "code", "execution_count": 165, "metadata": { "collapsed": false }, "outputs": [], "source": [ "dd = pd.Series(0,index=bb.index)" ] }, { "cell_type": "code", "execution_count": 169, "metadata": { "collapsed": false }, "outputs": [], "source": [ "cc[[6720146428478292796,2995406478677868061]] = 'F'\n", "dd[[6720146428478292796,2995406478677868061]] = 24" ] }, { "cell_type": "code", "execution_count": 171, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "device_id\n", "-3623170018309118233 0\n", " 2487686740931125480 0\n", " 5088294672372642064 0\n", " 546580604917490299 0\n", "-7244054779945689026 0\n", "-8143541372720077385 0\n", " 6720146428478292796 24\n", "-7149135054462404365 0\n", "-8639621067774183392 0\n", " 2995406478677868061 24\n", "-7537310668694706565 0\n", "-5127371964891533947 0\n", " 8076883275986912427 0\n", " 3207012926517067353 0\n", "dtype: int64" ] }, "execution_count": 171, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dd" ] }, { "cell_type": "code", "execution_count": 172, "metadata": { "collapsed": true }, "outputs": [], "source": [ "df = pd.DataFrame({'a':[1, 2], 'b':[3, 4]}) # see EDIT below\n", "s = pd.Series({'s1':5, 's2':6})" ] }, { "cell_type": "code", "execution_count": 173, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>a</th>\n", " <th>b</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>4</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " a b\n", "0 1 3\n", "1 2 4" ] }, "execution_count": 173, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df" ] }, { "cell_type": "code", "execution_count": 174, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "s1 5\n", "s2 6\n", "dtype: int64" ] }, "execution_count": 174, "metadata": {}, "output_type": "execute_result" } ], "source": [ "s" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
bioinformatica-corso/2014-15
laboratorio/lezione18-17dic21/esercizio1-pysam.ipynb
3
4291
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Esercizio1 - Pysam" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Prendere in input un BAM file che contiene allineamenti ad un'unica reference (cromosoma X) e:\n", "\n", "- controllare se sono presenti *paired-end* reads\n", "- determinare il numero e le lunghezze di tutti gli introni supportati dagli allineamenti del BAM file\n", "- determinare la base della reference a copertura massima e produrre un file SAM contenenti i soli allineamenti che coprono tale base" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Importare il modulo `pysam`" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Caricare il file `BAM` in input" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Controllare se ci sono dei reads paired-end." ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Determinare il numero e le lunghezze di tutti gli introni supportati dagli allineamenti\n", "\n", "Determinare la lista delle lunghezze degli introni supportati con duplicati." ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Determinare il set delle lunghezze degli introni supportati." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Trovare la base a copertura massima e produrre il SAM file contenente tutti gli allineamenti che coprono questa base.\n", "\n", "Determinare la lista delle *pileup columns*." ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Estrarre la colonna di *pileup* con il maggior numero di reads." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Settare a 0 la qualità minima della colonna di *pileup* (altrimenti le reads non vengono tenute perché hanno una qualità bassa)." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Estrarre la lista dei read di *pileup* relativi alla colonna di altezza massima." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Estrarre la lista degli allineamenti relativi alla colonna di altezza massima." ] }, { "cell_type": "code", "execution_count": 29, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Produrre gli allineamenti in un SAM file utilizzando la stessa Header Section del BAM file." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.1" } }, "nbformat": 4, "nbformat_minor": 4 }
cc0-1.0
stereoboy/Study
papers/Attention_Models/Attention Models.ipynb
1
1475
{ "cells": [ { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "# Hard Attention VS Soft Attention\n", "\n", "* Hard Attention\n", " * Chose Attention spots (or locations) using Stochastical methods\n", " * Use Reinforcement Learning\n", " * e.g. Recurrent Visual Models of Visual Attention\n", " <img src=\"FWIT2SG15Y6C0LDA1SINWLNIU081XORX.png\"/>\n", "* Soft Attention\n", " * Consider All spot with their weights(Using weights means Attention)\n", " * Guarantee Differentiability of Model => Traditional Back Propagation\n", " * e.g. Neural Machine Translation By Jointly Learning to Align and Translate\n", " <img src=\"Screenshot from 2016-12-21 01-47-39.png\" width=200/>\n", "* References\n", " * https://blog.heuritech.com/2016/01/20/attention-mechanism/\n", " * http://stackoverflow.com/questions/35549588/soft-attention-vs-hard-attention" ] }, { "cell_type": "markdown", "metadata": {}, "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
ptpro3/ptpro3.github.io
Projects/Project2/Project2_Prashant.ipynb
1
950138
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "```\n", "Project: Project 2: Luther\n", "Date: 02/03/2017\n", "Name: Prashant Tatineni\n", "```" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Project Overview\n", "For Project Luther, I gathered the set of all films listed under movie franchises on boxofficemojo.com. My goal was to predict the success of a movie sequel (i.e., domestic gross in USD) based on the performance of other sequels, and especially based on previous films in that particular franchise. I saw some linear correlation between certain variables, like number of theaters, and the total domestic gross, but the predictions from my final model were not entirely reasonable. More time could be spent on better addressing the various outliers in the dataset.\n", "\n", "# Summary of Solution Steps\n", "1. Retrieve data from boxofficemojo.com.\n", "2. Clean up data and reduce to a set of predictor variables, with \"Adjusted Gross\" as the target for prediction.\n", "3. Run Linear Regression model.\n", "4. Review model performance." ] }, { "cell_type": "code", "execution_count": 978, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import pandas as pd\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "import seaborn as sns\n", "from IPython.display import Image\n", "import requests\n", "from bs4 import BeautifulSoup\n", "import dateutil.parser\n", "import statsmodels.api as sm\n", "import patsy\n", "from sklearn.linear_model import LinearRegression\n", "from sklearn.preprocessing import PolynomialFeatures\n", "import sys, sklearn\n", "from sklearn import linear_model, preprocessing\n", "from sklearn import metrics\n", "\n", "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Step 1\n", "I started with the \"Franchises\" list on Boxofficemojo.com. Within each franchise page, I scraped each movie's information and enter it into a Python dictionary. If it's already in the dictionary, the entry will be overwritten, except with a different Franchise name. But note below that the url for \"Franchises\" list was sorted Ascending, so this conveniently rolls \"subfranchises\" into their \"parent\" franchise.\n", "\n", "E.g., \"Fantastic Beasts\" and the \"Harry Potter\" movies have their own separate Franchises, but they will all be tagged as the \"JKRowling\" franchise, i.e. \"./chart/?id=jkrowling.htm\"\n", "\n", "Also, because I was comparing sequels to their predecessors, I focused on Domestic Gross, adjusted for ticket price inflation." ] }, { "cell_type": "code", "execution_count": 75, "metadata": { "collapsed": true }, "outputs": [], "source": [ "url = 'http://www.boxofficemojo.com/franchises/?view=Franchise&sort=nummovies&order=ASC&p=.htm'\n", "response = requests.get(url)\n", "page = response.text\n", "soup = BeautifulSoup(page,\"lxml\")\n", "tables = soup.find_all(\"table\")\n", "rows = [row for row in tables[3].find_all('tr')]\n", "rows = rows[1:]" ] }, { "cell_type": "code", "execution_count": 900, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [], "source": [ "# Initialize empty dictionary of movies\n", "movies = {}\n", "\n", "for row in rows:\n", " items = row.find_all('td')\n", " franchise = items[0].find('a')['href']\n", " franchiseurl = 'http://www.boxofficemojo.com/franchises/' + franchise[2:]\n", " response = requests.get(franchiseurl)\n", " \n", " franchise_page = response.text\n", " franchise_soup = BeautifulSoup(franchise_page,\"lxml\")\n", " franchise_tables = franchise_soup.find_all(\"table\")\n", " franchise_gross = [row for row in franchise_tables[4].find_all('tr')]\n", " franchise_gross = franchise_gross[1:len(franchise_gross)-2]\n", " franchise_adjgross = [row for row in franchise_tables[5].find_all('tr')]\n", " franchise_adjgross = franchise_adjgross[1:len(franchise_adjgross)-2]\n", "\n", " # Assign movieurl as key\n", " # Add title, franchise, inflation-adjusted gross, release date.\n", " for row in franchise_adjgross:\n", " movie_info = row.find_all('td')\n", " movieurl = movie_info[1].find('a')['href']\n", " title = movie_info[1]\n", " adjgross = movie_info[3]\n", " release = movie_info[5]\n", " movies[movieurl] = [title.text]\n", " movies[movieurl].append(franchise) \n", " movies[movieurl].append(adjgross.text) \n", " movies[movieurl].append(release.text)\n", " \n", " # Add number of theaters for the above movies\n", " for row in franchise_gross:\n", " movie_info = row.find_all('td')\n", " movieurl = movie_info[1].find('a')['href']\n", " theaters = movie_info[4]\n", " if movieurl in movies.keys():\n", " movies[movieurl].append(theaters.text)" ] }, { "cell_type": "code", "execution_count": 901, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Title</th>\n", " <th>Franchise</th>\n", " <th>AdjGross</th>\n", " <th>Release</th>\n", " <th>Theaters</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>Tyler Perry's Madea Goes to Jail</td>\n", " <td>./chart/?id=madea.htm</td>\n", " <td>$109,038,600</td>\n", " <td>2/20/09</td>\n", " <td>2,203</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>Scary Movie 4</td>\n", " <td>./chart/?id=scarymovie.htm</td>\n", " <td>$119,793,400</td>\n", " <td>4/14/06</td>\n", " <td>3,674</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>Fantastic Four (2005)</td>\n", " <td>./chart/?id=fantasticfour.htm</td>\n", " <td>$208,755,300</td>\n", " <td>7/8/05</td>\n", " <td>3,619</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>The Amazing Spider-Man</td>\n", " <td>./chart/?id=spiderman.htm</td>\n", " <td>$291,314,200</td>\n", " <td>7/3/12</td>\n", " <td>4,318</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>A Nightmare on Elm Street</td>\n", " <td>./chart/?id=nightmareonelmstreet.htm</td>\n", " <td>$63,139,700</td>\n", " <td>11/9/84</td>\n", " <td>380</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Title Franchise \\\n", "0 Tyler Perry's Madea Goes to Jail ./chart/?id=madea.htm \n", "1 Scary Movie 4 ./chart/?id=scarymovie.htm \n", "2 Fantastic Four (2005) ./chart/?id=fantasticfour.htm \n", "3 The Amazing Spider-Man ./chart/?id=spiderman.htm \n", "4 A Nightmare on Elm Street ./chart/?id=nightmareonelmstreet.htm \n", "\n", " AdjGross Release Theaters \n", "0 $109,038,600 2/20/09 2,203 \n", "1 $119,793,400 4/14/06 3,674 \n", "2 $208,755,300 7/8/05 3,619 \n", "3 $291,314,200 7/3/12 4,318 \n", "4 $63,139,700 11/9/84 380 " ] }, "execution_count": 901, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df = pd.DataFrame(movies.values())\n", "df.columns = ['Title','Franchise', 'AdjGross', 'Release', 'Theaters']\n", "df.head()" ] }, { "cell_type": "code", "execution_count": 902, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(736, 5)" ] }, "execution_count": 902, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df.shape" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Step 2\n", "Clean up data." ] }, { "cell_type": "code", "execution_count": 903, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(713, 5)" ] }, "execution_count": 903, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Remove movies that were re-issues, special editions, or separate 3D or IMAX versions.\n", "df['Ignore'] = df['Title'].apply(lambda x: 're-issue' in x.lower() or 're-release' in x.lower() or 'special edition' in x.lower() or '3d)' in x.lower() or 'imax' in x.lower())\n", "df = df[(df.Ignore == False)]\n", "del df['Ignore']\n", "df.shape" ] }, { "cell_type": "code", "execution_count": 905, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Convert Adjusted Gross to a number\n", "df['AdjGross'] = df['AdjGross'].apply(lambda x: int(x.replace('$','').replace(',','')))\n", "\n", "# Convert Date string to dateobject. Need to prepend '19' for dates > 17 because Python treats '/60' as year '2060'\n", "df['Release'] = df['Release'].apply(lambda x: (x[:-2] + '19' + x[-2:]) if int(x[-2:]) > 17 else x)\n", "df['Release'] = df['Release'].apply(lambda x: dateutil.parser.parse(x))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The films need to be grouped by franchise so that franchise-related data can be included as featured for each observation.\n", "- The Average Adjusted Gross of all previous films in the franchise\n", "- The Adjusted Gross of the very first film in the franchise\n", "- The Release Date of the previous film in the franchise\n", "- The Release Date of the very first film in the franchise\n", "- The Series Number of the film in that franchise \n", "-- I considered using the film's number in the franchise as a rank value that could be split into indicator variables, but it's useful as a linear value because the total accrued sum of $ earned by the franchise is a linear combination of \"SeriesNum\" and \"PrevAvgGross\"" ] }, { "cell_type": "code", "execution_count": 908, "metadata": { "collapsed": false }, "outputs": [], "source": [ "df = df.sort_values(['Franchise','Release'])\n", "df['CumGross'] = df.groupby(['Franchise'])['AdjGross'].apply(lambda x: x.cumsum())\n", "df['SeriesNum'] = df.groupby(['Franchise'])['Release'].apply(lambda x: x.rank())\n", "df['PrevAvgGross'] = (df['CumGross'] - df['AdjGross'])/(df['SeriesNum'] - 1)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "- Number of Theaters in which the film showed \n", "-- Where this number was unavailable, replaced '-' with 0; the 0 will later be replaced with the mean number of theaters for the other films in the same franchise. I chose the average as a reasonable estimate." ] }, { "cell_type": "code", "execution_count": 910, "metadata": { "collapsed": false }, "outputs": [], "source": [ "df.Theaters = df.Theaters.replace('-','0')\n", "df['Theaters'] = df['Theaters'].apply(lambda x: int(x.replace(',','')))" ] }, { "cell_type": "code", "execution_count": 911, "metadata": { "collapsed": false }, "outputs": [], "source": [ "df['PrevRelease'] = df['Release'].shift()\n", "\n", "# Create a second dataframe with franchise group-related information.\n", "df_group = pd.DataFrame(df.groupby(['Franchise'])['Title'].apply(lambda x: x.count()))\n", "df_group['FirstGross'] = df.groupby(['Franchise'])['AdjGross'].first()\n", "df_group['FirstRelease'] = df.groupby(['Franchise'])['Release'].first()\n", "df_group['SumTheaters'] = df.groupby(['Franchise'])['Theaters'].apply(lambda x: x.sum())" ] }, { "cell_type": "code", "execution_count": 912, "metadata": { "collapsed": false }, "outputs": [], "source": [ "df_group.columns = ['NumOfFilms','FirstGross','FirstRelease','SumTheaters']\n", "df_group['AvgTheaters'] = df_group['SumTheaters']/df_group['NumOfFilms']" ] }, { "cell_type": "code", "execution_count": 913, "metadata": { "collapsed": true }, "outputs": [], "source": [ "df_group['Franchise'] = df.groupby(['Franchise'])['Franchise'].first()" ] }, { "cell_type": "code", "execution_count": 914, "metadata": { "collapsed": false }, "outputs": [], "source": [ "df = df.merge(df_group, on='Franchise')" ] }, { "cell_type": "code", "execution_count": 915, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Title</th>\n", " <th>Franchise</th>\n", " <th>AdjGross</th>\n", " <th>Release</th>\n", " <th>Theaters</th>\n", " <th>CumGross</th>\n", " <th>SeriesNum</th>\n", " <th>PrevAvgGross</th>\n", " <th>PrevRelease</th>\n", " <th>NumOfFilms</th>\n", " <th>FirstGross</th>\n", " <th>FirstRelease</th>\n", " <th>SumTheaters</th>\n", " <th>AvgTheaters</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>3 Ninjas</td>\n", " <td>./chart/?id=3ninjas.htm</td>\n", " <td>60446400</td>\n", " <td>1992-08-07</td>\n", " <td>1954</td>\n", " <td>60446400</td>\n", " <td>1.0</td>\n", " <td>NaN</td>\n", " <td>NaT</td>\n", " <td>4</td>\n", " <td>60446400</td>\n", " <td>1992-08-07</td>\n", " <td>4169</td>\n", " <td>1042.250000</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>3 Ninjas Kick Back</td>\n", " <td>./chart/?id=3ninjas.htm</td>\n", " <td>24416300</td>\n", " <td>1994-05-06</td>\n", " <td>2043</td>\n", " <td>84862700</td>\n", " <td>2.0</td>\n", " <td>6.044640e+07</td>\n", " <td>1992-08-07</td>\n", " <td>4</td>\n", " <td>60446400</td>\n", " <td>1992-08-07</td>\n", " <td>4169</td>\n", " <td>1042.250000</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>3 Ninjas Knuckle Up</td>\n", " <td>./chart/?id=3ninjas.htm</td>\n", " <td>822200</td>\n", " <td>1995-03-10</td>\n", " <td>52</td>\n", " <td>85684900</td>\n", " <td>3.0</td>\n", " <td>4.243135e+07</td>\n", " <td>1994-05-06</td>\n", " <td>4</td>\n", " <td>60446400</td>\n", " <td>1992-08-07</td>\n", " <td>4169</td>\n", " <td>1042.250000</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>3 Ninjas: High Noon at Mega Mountain</td>\n", " <td>./chart/?id=3ninjas.htm</td>\n", " <td>693100</td>\n", " <td>1998-04-10</td>\n", " <td>120</td>\n", " <td>86378000</td>\n", " <td>4.0</td>\n", " <td>2.856163e+07</td>\n", " <td>1995-03-10</td>\n", " <td>4</td>\n", " <td>60446400</td>\n", " <td>1992-08-07</td>\n", " <td>4169</td>\n", " <td>1042.250000</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>Kiss the Girls</td>\n", " <td>./chart/?id=alexcross.htm</td>\n", " <td>114066700</td>\n", " <td>1997-10-03</td>\n", " <td>2406</td>\n", " <td>114066700</td>\n", " <td>1.0</td>\n", " <td>NaN</td>\n", " <td>1998-04-10</td>\n", " <td>3</td>\n", " <td>114066700</td>\n", " <td>1997-10-03</td>\n", " <td>7520</td>\n", " <td>2506.666667</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Title Franchise AdjGross \\\n", "0 3 Ninjas ./chart/?id=3ninjas.htm 60446400 \n", "1 3 Ninjas Kick Back ./chart/?id=3ninjas.htm 24416300 \n", "2 3 Ninjas Knuckle Up ./chart/?id=3ninjas.htm 822200 \n", "3 3 Ninjas: High Noon at Mega Mountain ./chart/?id=3ninjas.htm 693100 \n", "4 Kiss the Girls ./chart/?id=alexcross.htm 114066700 \n", "\n", " Release Theaters CumGross SeriesNum PrevAvgGross PrevRelease \\\n", "0 1992-08-07 1954 60446400 1.0 NaN NaT \n", "1 1994-05-06 2043 84862700 2.0 6.044640e+07 1992-08-07 \n", "2 1995-03-10 52 85684900 3.0 4.243135e+07 1994-05-06 \n", "3 1998-04-10 120 86378000 4.0 2.856163e+07 1995-03-10 \n", "4 1997-10-03 2406 114066700 1.0 NaN 1998-04-10 \n", "\n", " NumOfFilms FirstGross FirstRelease SumTheaters AvgTheaters \n", "0 4 60446400 1992-08-07 4169 1042.250000 \n", "1 4 60446400 1992-08-07 4169 1042.250000 \n", "2 4 60446400 1992-08-07 4169 1042.250000 \n", "3 4 60446400 1992-08-07 4169 1042.250000 \n", "4 3 114066700 1997-10-03 7520 2506.666667 " ] }, "execution_count": 915, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df.head()" ] }, { "cell_type": "code", "execution_count": 916, "metadata": { "collapsed": false }, "outputs": [], "source": [ "df['Theaters'] = df.Theaters.replace(0,df.AvgTheaters)" ] }, { "cell_type": "code", "execution_count": 917, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(543, 14)" ] }, "execution_count": 917, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Drop rows with NaN. Drops all first films, but I've already stored first film information within other features.\n", "df = df.dropna()\n", "df.shape" ] }, { "cell_type": "code", "execution_count": 918, "metadata": { "collapsed": false }, "outputs": [], "source": [ "df['DaysSinceFirstFilm'] = df.Release - df.FirstRelease\n", "df['DaysSinceFirstFilm'] = df['DaysSinceFirstFilm'].apply(lambda x: x.days)" ] }, { "cell_type": "code", "execution_count": 919, "metadata": { "collapsed": false }, "outputs": [], "source": [ "df['DaysSincePrevFilm'] = df.Release - df.PrevRelease\n", "df['DaysSincePrevFilm'] = df['DaysSincePrevFilm'].apply(lambda x: x.days)" ] }, { "cell_type": "code", "execution_count": 922, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Title</th>\n", " <th>Franchise</th>\n", " <th>AdjGross</th>\n", " <th>Release</th>\n", " <th>Theaters</th>\n", " <th>CumGross</th>\n", " <th>SeriesNum</th>\n", " <th>PrevAvgGross</th>\n", " <th>PrevRelease</th>\n", " <th>NumOfFilms</th>\n", " <th>FirstGross</th>\n", " <th>FirstRelease</th>\n", " <th>SumTheaters</th>\n", " <th>AvgTheaters</th>\n", " <th>DaysSinceFirstFilm</th>\n", " <th>DaysSincePrevFilm</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>530</th>\n", " <td>Resident Evil: The Final Chapter</td>\n", " <td>./chart/?id=residentevil.htm</td>\n", " <td>16634600</td>\n", " <td>2017-01-27</td>\n", " <td>3104.0</td>\n", " <td>323867200</td>\n", " <td>6.0</td>\n", " <td>61446520.0</td>\n", " <td>2012-09-14</td>\n", " <td>6</td>\n", " <td>59730700</td>\n", " <td>2002-03-15</td>\n", " <td>17989</td>\n", " <td>2998.166667</td>\n", " <td>5432</td>\n", " <td>1596</td>\n", " </tr>\n", " <tr>\n", " <th>712</th>\n", " <td>xXx: The Return of Xander Cage</td>\n", " <td>./chart/?id=xxxtrilogy.htm</td>\n", " <td>35814600</td>\n", " <td>2017-01-20</td>\n", " <td>3651.0</td>\n", " <td>283638200</td>\n", " <td>3.0</td>\n", " <td>123911800.0</td>\n", " <td>2005-04-29</td>\n", " <td>3</td>\n", " <td>211558500</td>\n", " <td>2002-08-09</td>\n", " <td>10667</td>\n", " <td>3555.666667</td>\n", " <td>5278</td>\n", " <td>4284</td>\n", " </tr>\n", " <tr>\n", " <th>676</th>\n", " <td>Underworld: Blood Wars</td>\n", " <td>./chart/?id=underworld.htm</td>\n", " <td>29756100</td>\n", " <td>2017-01-06</td>\n", " <td>3070.0</td>\n", " <td>308546300</td>\n", " <td>5.0</td>\n", " <td>69697550.0</td>\n", " <td>2012-01-20</td>\n", " <td>5</td>\n", " <td>74535000</td>\n", " <td>2003-09-19</td>\n", " <td>15225</td>\n", " <td>3045.000000</td>\n", " <td>4858</td>\n", " <td>1813</td>\n", " </tr>\n", " <tr>\n", " <th>621</th>\n", " <td>Rogue One: A Star Wars Story</td>\n", " <td>./chart/?id=starwars.htm</td>\n", " <td>515381200</td>\n", " <td>2016-12-16</td>\n", " <td>4157.0</td>\n", " <td>5807058000</td>\n", " <td>9.0</td>\n", " <td>661459600.0</td>\n", " <td>2015-12-18</td>\n", " <td>9</td>\n", " <td>1234649200</td>\n", " <td>1977-05-25</td>\n", " <td>26485</td>\n", " <td>2942.777778</td>\n", " <td>14450</td>\n", " <td>364</td>\n", " </tr>\n", " <tr>\n", " <th>335</th>\n", " <td>Fantastic Beasts and Where To Find Them</td>\n", " <td>./chart/?id=jkrowling.htm</td>\n", " <td>228705500</td>\n", " <td>2016-11-18</td>\n", " <td>4144.0</td>\n", " <td>3291503100</td>\n", " <td>9.0</td>\n", " <td>382849700.0</td>\n", " <td>2011-07-15</td>\n", " <td>9</td>\n", " <td>483647300</td>\n", " <td>2001-11-16</td>\n", " <td>36451</td>\n", " <td>4050.111111</td>\n", " <td>5481</td>\n", " <td>1953</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Title Franchise \\\n", "530 Resident Evil: The Final Chapter ./chart/?id=residentevil.htm \n", "712 xXx: The Return of Xander Cage ./chart/?id=xxxtrilogy.htm \n", "676 Underworld: Blood Wars ./chart/?id=underworld.htm \n", "621 Rogue One: A Star Wars Story ./chart/?id=starwars.htm \n", "335 Fantastic Beasts and Where To Find Them ./chart/?id=jkrowling.htm \n", "\n", " AdjGross Release Theaters CumGross SeriesNum PrevAvgGross \\\n", "530 16634600 2017-01-27 3104.0 323867200 6.0 61446520.0 \n", "712 35814600 2017-01-20 3651.0 283638200 3.0 123911800.0 \n", "676 29756100 2017-01-06 3070.0 308546300 5.0 69697550.0 \n", "621 515381200 2016-12-16 4157.0 5807058000 9.0 661459600.0 \n", "335 228705500 2016-11-18 4144.0 3291503100 9.0 382849700.0 \n", "\n", " PrevRelease NumOfFilms FirstGross FirstRelease SumTheaters \\\n", "530 2012-09-14 6 59730700 2002-03-15 17989 \n", "712 2005-04-29 3 211558500 2002-08-09 10667 \n", "676 2012-01-20 5 74535000 2003-09-19 15225 \n", "621 2015-12-18 9 1234649200 1977-05-25 26485 \n", "335 2011-07-15 9 483647300 2001-11-16 36451 \n", "\n", " AvgTheaters DaysSinceFirstFilm DaysSincePrevFilm \n", "530 2998.166667 5432 1596 \n", "712 3555.666667 5278 4284 \n", "676 3045.000000 4858 1813 \n", "621 2942.777778 14450 364 \n", "335 4050.111111 5481 1953 " ] }, "execution_count": 922, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df.sort_values('Release',ascending=False).head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For the regression model, I decided to keep data for films released through 2016, but drop the 3 films released this year; because of their recent release date, their gross earnings will not yet be representative." ] }, { "cell_type": "code", "execution_count": 923, "metadata": { "collapsed": false }, "outputs": [], "source": [ "films17 = df.loc[[530,712,676]]" ] }, { "cell_type": "code", "execution_count": 1008, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(540, 7)" ] }, "execution_count": 1008, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Grabbing columns for regression model and dropping 2017 films\n", "dfreg = df[['AdjGross','Theaters','SeriesNum','PrevAvgGross','FirstGross','DaysSinceFirstFilm','DaysSincePrevFilm']]\n", "dfreg = dfreg.drop([530,712,676])\n", "dfreg.shape" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Step 3\n", "Apply Linear Regression." ] }, { "cell_type": "code", "execution_count": 929, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>AdjGross</th>\n", " <th>Theaters</th>\n", " <th>SeriesNum</th>\n", " <th>PrevAvgGross</th>\n", " <th>FirstGross</th>\n", " <th>DaysSinceFirstFilm</th>\n", " <th>DaysSincePrevFilm</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>AdjGross</th>\n", " <td>1.000000</td>\n", " <td>0.493283</td>\n", " <td>0.094456</td>\n", " <td>0.667062</td>\n", " <td>0.558083</td>\n", " <td>0.025915</td>\n", " <td>-0.049429</td>\n", " </tr>\n", " <tr>\n", " <th>Theaters</th>\n", " <td>0.493283</td>\n", " <td>1.000000</td>\n", " <td>0.158827</td>\n", " <td>0.290426</td>\n", " <td>0.242405</td>\n", " <td>0.237296</td>\n", " <td>0.144122</td>\n", " </tr>\n", " <tr>\n", " <th>SeriesNum</th>\n", " <td>0.094456</td>\n", " <td>0.158827</td>\n", " <td>1.000000</td>\n", " <td>0.038785</td>\n", " <td>0.053518</td>\n", " <td>0.634191</td>\n", " <td>-0.042277</td>\n", " </tr>\n", " <tr>\n", " <th>PrevAvgGross</th>\n", " <td>0.667062</td>\n", " <td>0.290426</td>\n", " <td>0.038785</td>\n", " <td>1.000000</td>\n", " <td>0.892891</td>\n", " <td>0.005214</td>\n", " <td>-0.056587</td>\n", " </tr>\n", " <tr>\n", " <th>FirstGross</th>\n", " <td>0.558083</td>\n", " <td>0.242405</td>\n", " <td>0.053518</td>\n", " <td>0.892891</td>\n", " <td>1.000000</td>\n", " <td>0.128245</td>\n", " <td>0.030852</td>\n", " </tr>\n", " <tr>\n", " <th>DaysSinceFirstFilm</th>\n", " <td>0.025915</td>\n", " <td>0.237296</td>\n", " <td>0.634191</td>\n", " <td>0.005214</td>\n", " <td>0.128245</td>\n", " <td>1.000000</td>\n", " <td>0.526116</td>\n", " </tr>\n", " <tr>\n", " <th>DaysSincePrevFilm</th>\n", " <td>-0.049429</td>\n", " <td>0.144122</td>\n", " <td>-0.042277</td>\n", " <td>-0.056587</td>\n", " <td>0.030852</td>\n", " <td>0.526116</td>\n", " <td>1.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " AdjGross Theaters SeriesNum PrevAvgGross FirstGross \\\n", "AdjGross 1.000000 0.493283 0.094456 0.667062 0.558083 \n", "Theaters 0.493283 1.000000 0.158827 0.290426 0.242405 \n", "SeriesNum 0.094456 0.158827 1.000000 0.038785 0.053518 \n", "PrevAvgGross 0.667062 0.290426 0.038785 1.000000 0.892891 \n", "FirstGross 0.558083 0.242405 0.053518 0.892891 1.000000 \n", "DaysSinceFirstFilm 0.025915 0.237296 0.634191 0.005214 0.128245 \n", "DaysSincePrevFilm -0.049429 0.144122 -0.042277 -0.056587 0.030852 \n", "\n", " DaysSinceFirstFilm DaysSincePrevFilm \n", "AdjGross 0.025915 -0.049429 \n", "Theaters 0.237296 0.144122 \n", "SeriesNum 0.634191 -0.042277 \n", "PrevAvgGross 0.005214 -0.056587 \n", "FirstGross 0.128245 0.030852 \n", "DaysSinceFirstFilm 1.000000 0.526116 \n", "DaysSincePrevFilm 0.526116 1.000000 " ] }, "execution_count": 929, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dfreg.corr()" ] }, { "cell_type": "code", "execution_count": 930, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABukAAAbWCAYAAAC/flriAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nY4wxxhhjjDHGGGOMMcYY0838P88HTfTNZ8ZmAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x122c03d90>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "sns.pairplot(dfreg);" ] }, { "cell_type": "code", "execution_count": 1031, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAigAAAGFCAYAAADXZwgoAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nBEEQhLZDBIogCIIgCG3H/wMj6EyEHVfwWQAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x122f618d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "sns.regplot((dfreg.PrevAvgGross), (dfreg.AdjGross));" ] }, { "cell_type": "code", "execution_count": 1032, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAhcAAAF9CAYAAAC3XkrpAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nhHDU/w+IOSOcYXhP8QAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x125da2a90>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "sns.regplot(np.log(dfreg.Theaters), np.log(dfreg.AdjGross));" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In the pairplot we can see that 'AdjGross' may have some correlation with the variables, particularly 'Theaters' and 'PrevAvgGross'. However, it looks like a polynomial model, or natural log / some other transformation will be required before fitting a linear model." ] }, { "cell_type": "code", "execution_count": 932, "metadata": { "collapsed": true }, "outputs": [], "source": [ "y, X = patsy.dmatrices('AdjGross ~ Theaters + SeriesNum + PrevAvgGross + FirstGross + DaysSinceFirstFilm + DaysSincePrevFilm', data=dfreg, return_type=\"dataframe\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### First try: Initial linear regression model with statsmodels" ] }, { "cell_type": "code", "execution_count": 933, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<table class=\"simpletable\">\n", "<caption>OLS Regression Results</caption>\n", "<tr>\n", " <th>Dep. Variable:</th> <td>AdjGross</td> <th> R-squared: </th> <td> 0.553</td> \n", "</tr>\n", "<tr>\n", " <th>Model:</th> <td>OLS</td> <th> Adj. R-squared: </th> <td> 0.548</td> \n", "</tr>\n", "<tr>\n", " <th>Method:</th> <td>Least Squares</td> <th> F-statistic: </th> <td> 110.0</td> \n", "</tr>\n", "<tr>\n", " <th>Date:</th> <td>Fri, 03 Feb 2017</td> <th> Prob (F-statistic):</th> <td>6.00e-90</td> \n", "</tr>\n", "<tr>\n", " <th>Time:</th> <td>01:15:01</td> <th> Log-Likelihood: </th> <td> -10685.</td> \n", "</tr>\n", "<tr>\n", " <th>No. Observations:</th> <td> 540</td> <th> AIC: </th> <td>2.138e+04</td>\n", "</tr>\n", "<tr>\n", " <th>Df Residuals:</th> <td> 533</td> <th> BIC: </th> <td>2.141e+04</td>\n", "</tr>\n", "<tr>\n", " <th>Df Model:</th> <td> 6</td> <th> </th> <td> </td> \n", "</tr>\n", "<tr>\n", " <th>Covariance Type:</th> <td>nonrobust</td> <th> </th> <td> </td> \n", "</tr>\n", "</table>\n", "<table class=\"simpletable\">\n", "<tr>\n", " <td></td> <th>coef</th> <th>std err</th> <th>t</th> <th>P>|t|</th> <th>[95.0% Conf. Int.]</th> \n", "</tr>\n", "<tr>\n", " <th>Intercept</th> <td>-5.294e+07</td> <td> 1.16e+07</td> <td> -4.569</td> <td> 0.000</td> <td>-7.57e+07 -3.02e+07</td>\n", "</tr>\n", "<tr>\n", " <th>Theaters</th> <td> 3.959e+04</td> <td> 3697.763</td> <td> 10.706</td> <td> 0.000</td> <td> 3.23e+04 4.69e+04</td>\n", "</tr>\n", "<tr>\n", " <th>SeriesNum</th> <td> 3.379e+06</td> <td> 2.04e+06</td> <td> 1.657</td> <td> 0.098</td> <td>-6.28e+05 7.39e+06</td>\n", "</tr>\n", "<tr>\n", " <th>PrevAvgGross</th> <td> 0.5605</td> <td> 0.059</td> <td> 9.542</td> <td> 0.000</td> <td> 0.445 0.676</td>\n", "</tr>\n", "<tr>\n", " <th>FirstGross</th> <td> -0.0696</td> <td> 0.044</td> <td> -1.563</td> <td> 0.119</td> <td> -0.157 0.018</td>\n", "</tr>\n", "<tr>\n", " <th>DaysSinceFirstFilm</th> <td>-3305.0380</td> <td> 2096.598</td> <td> -1.576</td> <td> 0.116</td> <td>-7423.648 813.572</td>\n", "</tr>\n", "<tr>\n", " <th>DaysSincePrevFilm</th> <td> -555.5438</td> <td> 3174.223</td> <td> -0.175</td> <td> 0.861</td> <td>-6791.066 5679.978</td>\n", "</tr>\n", "</table>\n", "<table class=\"simpletable\">\n", "<tr>\n", " <th>Omnibus:</th> <td>200.379</td> <th> Durbin-Watson: </th> <td> 1.568</td> \n", "</tr>\n", "<tr>\n", " <th>Prob(Omnibus):</th> <td> 0.000</td> <th> Jarque-Bera (JB): </th> <td>1093.186</td> \n", "</tr>\n", "<tr>\n", " <th>Skew:</th> <td> 1.542</td> <th> Prob(JB): </th> <td>4.15e-238</td>\n", "</tr>\n", "<tr>\n", " <th>Kurtosis:</th> <td> 9.251</td> <th> Cond. No. </th> <td>1.16e+09</td> \n", "</tr>\n", "</table>" ], "text/plain": [ "<class 'statsmodels.iolib.summary.Summary'>\n", "\"\"\"\n", " OLS Regression Results \n", "==============================================================================\n", "Dep. Variable: AdjGross R-squared: 0.553\n", "Model: OLS Adj. R-squared: 0.548\n", "Method: Least Squares F-statistic: 110.0\n", "Date: Fri, 03 Feb 2017 Prob (F-statistic): 6.00e-90\n", "Time: 01:15:01 Log-Likelihood: -10685.\n", "No. Observations: 540 AIC: 2.138e+04\n", "Df Residuals: 533 BIC: 2.141e+04\n", "Df Model: 6 \n", "Covariance Type: nonrobust \n", "======================================================================================\n", " coef std err t P>|t| [95.0% Conf. Int.]\n", "--------------------------------------------------------------------------------------\n", "Intercept -5.294e+07 1.16e+07 -4.569 0.000 -7.57e+07 -3.02e+07\n", "Theaters 3.959e+04 3697.763 10.706 0.000 3.23e+04 4.69e+04\n", "SeriesNum 3.379e+06 2.04e+06 1.657 0.098 -6.28e+05 7.39e+06\n", "PrevAvgGross 0.5605 0.059 9.542 0.000 0.445 0.676\n", "FirstGross -0.0696 0.044 -1.563 0.119 -0.157 0.018\n", "DaysSinceFirstFilm -3305.0380 2096.598 -1.576 0.116 -7423.648 813.572\n", "DaysSincePrevFilm -555.5438 3174.223 -0.175 0.861 -6791.066 5679.978\n", "==============================================================================\n", "Omnibus: 200.379 Durbin-Watson: 1.568\n", "Prob(Omnibus): 0.000 Jarque-Bera (JB): 1093.186\n", "Skew: 1.542 Prob(JB): 4.15e-238\n", "Kurtosis: 9.251 Cond. No. 1.16e+09\n", "==============================================================================\n", "\n", "Warnings:\n", "[1] Standard Errors assume that the covariance matrix of the errors is correctly specified.\n", "[2] The condition number is large, 1.16e+09. This might indicate that there are\n", "strong multicollinearity or other numerical problems.\n", "\"\"\"" ] }, "execution_count": 933, "metadata": {}, "output_type": "execute_result" } ], "source": [ "model = sm.OLS(y, X)\n", "fit = model.fit()\n", "fit.summary()" ] }, { "cell_type": "code", "execution_count": 935, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAgMAAAFwCAYAAAAlhXilAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n7v8B2tDrcnPd080AAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x125ebd750>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fit.resid.plot(style='o');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Try Polynomial Regression" ] }, { "cell_type": "code", "execution_count": 938, "metadata": { "collapsed": true }, "outputs": [], "source": [ "polyX=PolynomialFeatures(2).fit_transform(X)" ] }, { "cell_type": "code", "execution_count": 941, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0.63917556520562635" ] }, "execution_count": 941, "metadata": {}, "output_type": "execute_result" } ], "source": [ "polymodel = sm.OLS(y, polyX)\n", "polyfit = polymodel.fit()\n", "polyfit.rsquared" ] }, { "cell_type": "code", "execution_count": 944, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAgMAAAFwCAYAAAAlhXilAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nBpIAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1335fefd0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "polyfit.resid.plot(style='o');" ] }, { "cell_type": "code", "execution_count": 945, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0.62599159547275496" ] }, "execution_count": 945, "metadata": {}, "output_type": "execute_result" } ], "source": [ "polyfit.rsquared_adj" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Heteroskedasticity\n", "The polynomial regression improved the Adjusted Rsquared and the residual plot, but there's still issues with other statistics including skew. It's worth running the Breusch-Pagan test:" ] }, { "cell_type": "code", "execution_count": 947, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[('Lagrange multiplier statistic', 59.176710277726116),\n", " ('p-val', 6.6143443857103e-11),\n", " ('f-val', 10.933048673053531),\n", " ('f p-val', 1.6877748201974073e-11)]" ] }, "execution_count": 947, "metadata": {}, "output_type": "execute_result" } ], "source": [ "hetnames = ['Lagrange multiplier statistic', 'p-val', 'f-val', 'f p-val']\n", "hettest = sm.stats.diagnostic.het_breushpagan(fit.resid, fit.model.exog)\n", "zip(hetnames,hettest)" ] }, { "cell_type": "code", "execution_count": 948, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[('Lagrange multiplier statistic', 52.139634651983954),\n", " ('p-val', 1.7480550785765188e-09),\n", " ('f-val', 9.4939820364662175),\n", " ('f p-val', 6.3527969215406968e-10)]" ] }, "execution_count": 948, "metadata": {}, "output_type": "execute_result" } ], "source": [ "hetnames = ['Lagrange multiplier statistic', 'p-val', 'f-val', 'f p-val']\n", "hettest = sm.stats.diagnostic.het_breushpagan(polyfit.resid, fit.model.exog)\n", "zip(hetnames,hettest)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Apply Box-Cox Transformation\n", "As seen above the p-values were very low, suggesting the data is indeed tending towards heteroskedasticity. To improve the data we can apply boxcox." ] }, { "cell_type": "code", "execution_count": 949, "metadata": { "collapsed": false }, "outputs": [], "source": [ "dfPolyX = pd.DataFrame(polyX)\n", "bcPolyX = pd.DataFrame()\n", "for i in range(dfPolyX.shape[1]):\n", " bcPolyX[i] = scipy.stats.boxcox(dfPolyX[i])[0]" ] }, { "cell_type": "code", "execution_count": 950, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>0</th>\n", " <th>1</th>\n", " <th>2</th>\n", " <th>3</th>\n", " <th>4</th>\n", " <th>5</th>\n", " <th>6</th>\n", " <th>7</th>\n", " <th>8</th>\n", " <th>9</th>\n", " <th>...</th>\n", " <th>26</th>\n", " <th>27</th>\n", " <th>28</th>\n", " <th>29</th>\n", " <th>30</th>\n", " <th>31</th>\n", " <th>32</th>\n", " <th>33</th>\n", " <th>34</th>\n", " <th>35</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1379.299460</td>\n", " <td>0.531850</td>\n", " <td>2783.031941</td>\n", " <td>1424.471172</td>\n", " <td>8.468178</td>\n", " <td>5.681496</td>\n", " <td>0.0</td>\n", " <td>1379.299460</td>\n", " <td>...</td>\n", " <td>0.295376</td>\n", " <td>0.295376</td>\n", " <td>708.740595</td>\n", " <td>527.453349</td>\n", " <td>0.295376</td>\n", " <td>269.434349</td>\n", " <td>249.287821</td>\n", " <td>16.936356</td>\n", " <td>14.164688</td>\n", " <td>11.362993</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>42.644860</td>\n", " <td>0.730508</td>\n", " <td>2423.782274</td>\n", " <td>1424.471172</td>\n", " <td>9.142174</td>\n", " <td>5.113736</td>\n", " <td>0.0</td>\n", " <td>42.644860</td>\n", " <td>...</td>\n", " <td>0.295376</td>\n", " <td>0.295376</td>\n", " <td>714.680386</td>\n", " <td>428.916068</td>\n", " <td>0.295376</td>\n", " <td>286.686922</td>\n", " <td>222.930067</td>\n", " <td>18.284348</td>\n", " <td>13.766970</td>\n", " <td>10.227472</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>94.906975</td>\n", " <td>0.837049</td>\n", " <td>2076.549283</td>\n", " <td>1424.471172</td>\n", " <td>10.549185</td>\n", " <td>6.115709</td>\n", " <td>0.0</td>\n", " <td>94.906975</td>\n", " <td>...</td>\n", " <td>0.295376</td>\n", " <td>0.295376</td>\n", " <td>774.282392</td>\n", " <td>509.691665</td>\n", " <td>0.295376</td>\n", " <td>324.304986</td>\n", " <td>272.086119</td>\n", " <td>21.098369</td>\n", " <td>16.290594</td>\n", " <td>12.231418</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1713.707266</td>\n", " <td>0.531850</td>\n", " <td>3566.276944</td>\n", " <td>1775.428879</td>\n", " <td>9.676846</td>\n", " <td>6.211814</td>\n", " <td>0.0</td>\n", " <td>1713.707266</td>\n", " <td>...</td>\n", " <td>0.295376</td>\n", " <td>0.295376</td>\n", " <td>932.414623</td>\n", " <td>680.430067</td>\n", " <td>0.295376</td>\n", " <td>332.239841</td>\n", " <td>305.784512</td>\n", " <td>19.353691</td>\n", " <td>15.857799</td>\n", " <td>12.423628</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1693.642063</td>\n", " <td>0.730508</td>\n", " <td>3561.049642</td>\n", " <td>1775.428879</td>\n", " <td>12.425688</td>\n", " <td>7.081833</td>\n", " <td>0.0</td>\n", " <td>1693.642063</td>\n", " <td>...</td>\n", " <td>0.295376</td>\n", " <td>0.295376</td>\n", " <td>1256.456736</td>\n", " <td>853.173760</td>\n", " <td>0.295376</td>\n", " <td>417.250310</td>\n", " <td>366.687149</td>\n", " <td>24.851374</td>\n", " <td>19.158201</td>\n", " <td>14.163667</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>5 rows × 36 columns</p>\n", "</div>" ], "text/plain": [ " 0 1 2 3 4 5 6 \\\n", "0 0.0 0.0 1379.299460 0.531850 2783.031941 1424.471172 8.468178 \n", "1 0.0 0.0 42.644860 0.730508 2423.782274 1424.471172 9.142174 \n", "2 0.0 0.0 94.906975 0.837049 2076.549283 1424.471172 10.549185 \n", "3 0.0 0.0 1713.707266 0.531850 3566.276944 1775.428879 9.676846 \n", "4 0.0 0.0 1693.642063 0.730508 3561.049642 1775.428879 12.425688 \n", "\n", " 7 8 9 ... 26 27 28 \\\n", "0 5.681496 0.0 1379.299460 ... 0.295376 0.295376 708.740595 \n", "1 5.113736 0.0 42.644860 ... 0.295376 0.295376 714.680386 \n", "2 6.115709 0.0 94.906975 ... 0.295376 0.295376 774.282392 \n", "3 6.211814 0.0 1713.707266 ... 0.295376 0.295376 932.414623 \n", "4 7.081833 0.0 1693.642063 ... 0.295376 0.295376 1256.456736 \n", "\n", " 29 30 31 32 33 34 \\\n", "0 527.453349 0.295376 269.434349 249.287821 16.936356 14.164688 \n", "1 428.916068 0.295376 286.686922 222.930067 18.284348 13.766970 \n", "2 509.691665 0.295376 324.304986 272.086119 21.098369 16.290594 \n", "3 680.430067 0.295376 332.239841 305.784512 19.353691 15.857799 \n", "4 853.173760 0.295376 417.250310 366.687149 24.851374 19.158201 \n", "\n", " 35 \n", "0 11.362993 \n", "1 10.227472 \n", "2 12.231418 \n", "3 12.423628 \n", "4 14.163667 \n", "\n", "[5 rows x 36 columns]" ] }, "execution_count": 950, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Transformed data with Box-Cox:\n", "bcPolyX.head()" ] }, { "cell_type": "code", "execution_count": 952, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Introduce log(y) for target variable:\n", "y = y.reset_index(drop=True)\n", "logy = np.log(y)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Try Polynomial Regression again with Log Y and Box-Cox transformed X" ] }, { "cell_type": "code", "execution_count": 965, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0.82639144553295163" ] }, "execution_count": 965, "metadata": {}, "output_type": "execute_result" } ], "source": [ "logPolyModel = sm.OLS(logy, bcPolyX)\n", "logPolyFit = logPolyModel.fit()\n", "logPolyFit.rsquared_adj" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Apply Regularization using Elastic Net to optimize this model." ] }, { "cell_type": "code", "execution_count": 974, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "//anaconda/lib/python2.7/site-packages/sklearn/linear_model/coordinate_descent.py:1051: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "data": { "text/plain": [ "ElasticNetCV(alphas=None, copy_X=True, cv=10, eps=0.001, fit_intercept=True,\n", " l1_ratio=0.5, max_iter=1000, n_alphas=100, n_jobs=1,\n", " normalize=False, positive=False, precompute='auto',\n", " random_state=None, selection='cyclic', tol=0.0001, verbose=0)" ] }, "execution_count": 974, "metadata": {}, "output_type": "execute_result" } ], "source": [ "X_scaled = preprocessing.scale(bcPolyX)\n", "en_cv = linear_model.ElasticNetCV(cv=10, normalize=False)\n", "en_cv.fit(X_scaled, logy)" ] }, { "cell_type": "code", "execution_count": 985, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([ 0. , 0. , -0.09778682, -0.22718488, 1.08431228,\n", " -0.16206495, -0.13710198, 0.2081677 , 0. , -0. ,\n", " -0.05566614, 0.93082535, -0.46732173, -0.13935011, 0.14423405,\n", " -0.15442166, 0.4146195 , -0.98641762, 0.23276685, 1.56872592,\n", " 0.75385676, -0.01684137, 0.75142965, -0. , 0.3142132 ,\n", " -0.73205773, 0. , 0. , -1.00683123, -0.37426653,\n", " 0. , 0.22524441, -0.06747595, -0.75438376, -0. ,\n", " 0.11161417])" ] }, "execution_count": 985, "metadata": {}, "output_type": "execute_result" } ], "source": [ "en_cv.coef_" ] }, { "cell_type": "code", "execution_count": 983, "metadata": { "collapsed": true }, "outputs": [], "source": [ "logy_en = en_cv.predict(X_scaled)\n", "mse = metrics.mean_squared_error(logy, logy_en)" ] }, { "cell_type": "code", "execution_count": 984, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0.56136484446836377" ] }, "execution_count": 984, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# The mean square error for this model\n", "mse" ] }, { "cell_type": "code", "execution_count": 1069, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAgoAAAFoCAYAAAA2FdOZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\npURBREREUv0/u+xEWq7kNOsAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x122df4ed0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.scatter([x for x in range(540)],(pd.DataFrame(logy_en)[0] - logy['AdjGross']));" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Step 4\n", "As seen above, Polynomial Regression with Elastic Net produces a model with several nonzero coefficients for the given features. I decided to try testing this model on the three new sequels for 2017." ] }, { "cell_type": "code", "execution_count": 1004, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Title</th>\n", " <th>Franchise</th>\n", " <th>AdjGross</th>\n", " <th>Release</th>\n", " <th>Theaters</th>\n", " <th>CumGross</th>\n", " <th>SeriesNum</th>\n", " <th>PrevAvgGross</th>\n", " <th>PrevRelease</th>\n", " <th>NumOfFilms</th>\n", " <th>FirstGross</th>\n", " <th>FirstRelease</th>\n", " <th>SumTheaters</th>\n", " <th>AvgTheaters</th>\n", " <th>DaysSinceFirstFilm</th>\n", " <th>DaysSincePrevFilm</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>530</th>\n", " <td>Resident Evil: The Final Chapter</td>\n", " <td>./chart/?id=residentevil.htm</td>\n", " <td>16634600</td>\n", " <td>2017-01-27</td>\n", " <td>3104.0</td>\n", " <td>323867200</td>\n", " <td>6.0</td>\n", " <td>61446520.0</td>\n", " <td>2012-09-14</td>\n", " <td>6</td>\n", " <td>59730700</td>\n", " <td>2002-03-15</td>\n", " <td>17989</td>\n", " <td>2998.166667</td>\n", " <td>5432</td>\n", " <td>1596</td>\n", " </tr>\n", " <tr>\n", " <th>712</th>\n", " <td>xXx: The Return of Xander Cage</td>\n", " <td>./chart/?id=xxxtrilogy.htm</td>\n", " <td>35814600</td>\n", " <td>2017-01-20</td>\n", " <td>3651.0</td>\n", " <td>283638200</td>\n", " <td>3.0</td>\n", " <td>123911800.0</td>\n", " <td>2005-04-29</td>\n", " <td>3</td>\n", " <td>211558500</td>\n", " <td>2002-08-09</td>\n", " <td>10667</td>\n", " <td>3555.666667</td>\n", " <td>5278</td>\n", " <td>4284</td>\n", " </tr>\n", " <tr>\n", " <th>676</th>\n", " <td>Underworld: Blood Wars</td>\n", " <td>./chart/?id=underworld.htm</td>\n", " <td>29756100</td>\n", " <td>2017-01-06</td>\n", " <td>3070.0</td>\n", " <td>308546300</td>\n", " <td>5.0</td>\n", " <td>69697550.0</td>\n", " <td>2012-01-20</td>\n", " <td>5</td>\n", " <td>74535000</td>\n", " <td>2003-09-19</td>\n", " <td>15225</td>\n", " <td>3045.000000</td>\n", " <td>4858</td>\n", " <td>1813</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Title Franchise AdjGross \\\n", "530 Resident Evil: The Final Chapter ./chart/?id=residentevil.htm 16634600 \n", "712 xXx: The Return of Xander Cage ./chart/?id=xxxtrilogy.htm 35814600 \n", "676 Underworld: Blood Wars ./chart/?id=underworld.htm 29756100 \n", "\n", " Release Theaters CumGross SeriesNum PrevAvgGross PrevRelease \\\n", "530 2017-01-27 3104.0 323867200 6.0 61446520.0 2012-09-14 \n", "712 2017-01-20 3651.0 283638200 3.0 123911800.0 2005-04-29 \n", "676 2017-01-06 3070.0 308546300 5.0 69697550.0 2012-01-20 \n", "\n", " NumOfFilms FirstGross FirstRelease SumTheaters AvgTheaters \\\n", "530 6 59730700 2002-03-15 17989 2998.166667 \n", "712 3 211558500 2002-08-09 10667 3555.666667 \n", "676 5 74535000 2003-09-19 15225 3045.000000 \n", "\n", " DaysSinceFirstFilm DaysSincePrevFilm \n", "530 5432 1596 \n", "712 5278 4284 \n", "676 4858 1813 " ] }, "execution_count": 1004, "metadata": {}, "output_type": "execute_result" } ], "source": [ "films17" ] }, { "cell_type": "code", "execution_count": 992, "metadata": { "collapsed": false }, "outputs": [], "source": [ "df17 = films17[['AdjGross','Theaters','SeriesNum','PrevAvgGross','FirstGross','DaysSinceFirstFilm','DaysSincePrevFilm']]\n", "y17, X17 = patsy.dmatrices('AdjGross ~ Theaters + SeriesNum + PrevAvgGross + FirstGross + DaysSinceFirstFilm + DaysSincePrevFilm', data=df17, return_type=\"dataframe\")\n", "polyX17 = PolynomialFeatures(2).fit_transform(X17)\n", "\n", "dfPolyX17 = pd.DataFrame(polyX17)\n", "bcPolyX17 = pd.DataFrame()\n", "for i in range(dfPolyX17.shape[1]):\n", " bcPolyX17[i] = scipy.stats.boxcox(dfPolyX17[i])[0]\n", "X17_scaled = preprocessing.scale(bcPolyX17)" ] }, { "cell_type": "code", "execution_count": 993, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Run the \"en_cv\" model from above on the 2017 data:\n", "logy_en_2017 = en_cv.predict(X17_scaled)" ] }, { "cell_type": "code", "execution_count": 1005, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>0</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>3.550723e+06</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>7.522682e+08</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1.496257e+08</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " 0\n", "0 3.550723e+06\n", "1 7.522682e+08\n", "2 1.496257e+08" ] }, "execution_count": 1005, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Predicted Adjusted Gross:\n", "pd.DataFrame(np.exp(logy_en_2017))" ] }, { "cell_type": "code", "execution_count": 1002, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>AdjGross</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>530</th>\n", " <td>16634600.0</td>\n", " </tr>\n", " <tr>\n", " <th>712</th>\n", " <td>35814600.0</td>\n", " </tr>\n", " <tr>\n", " <th>676</th>\n", " <td>29756100.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " AdjGross\n", "530 16634600.0\n", "712 35814600.0\n", "676 29756100.0" ] }, "execution_count": 1002, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Adjusted Gross as of 2/1:\n", "y17" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [conda root]", "language": "python", "name": "conda-root-py" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
SIMEXP/Projects
preventad/.ipynb_checkpoints/preventad_scores_dynamic-checkpoint.ipynb
1
317033
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Ok, quick outline:\n", "1. Have the network to left\n", "2. Have the network time series top center\n", "3. Take three time windows\n", "4. Have the seed map and winner takes all for each window in stack mid/bottom center\n", "5. Have the average winner takes all / scores on right" ] }, { "cell_type": "code", "execution_count": 100, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Imports\n", "import os\n", "import glob\n", "import numpy as np\n", "import pandas as pd\n", "import nibabel as nib\n", "import brainbox as bb\n", "import multiprocessing as mp\n", "import statsmodels.api as sm\n", "from scipy import stats as st\n", "from matplotlib import gridspec\n", "from scipy import cluster as scl\n", "from nilearn import plotting as nlp\n", "from matplotlib import pyplot as plt\n", "from sklearn import linear_model as slin\n", "from statsmodels.sandbox import stats as sts\n", "from matplotlib.colors import LinearSegmentedColormap" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Populating the interactive namespace from numpy and matplotlib\n" ] } ], "source": [ "%pylab inline" ] }, { "cell_type": "code", "execution_count": 119, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def remap(vec, mask):\n", " # Remap the map into volume space\n", " vol = np.zeros_like(mask, dtype=np.float64)\n", " vol[mask] = vec\n", " \n", " return vol" ] }, { "cell_type": "code", "execution_count": 120, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def make_image(vec, mask_i):\n", " mask = mask_i.get_data() != 0\n", " vol = remap(vec, mask)\n", " img = nib.Nifti1Image(vol, affine=mask_i.get_affine(), header=mask_i.get_header())\n", " return img, vol" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Define a new colormap\n", "cdict = {'red': ((0.0, 0.0, 0.0),\n", " (0.5, 0.0, 0.0),\n", " (0.75, 1.0, 1.0),\n", " (1.0, 1.0, 1.0)),\n", "\n", " 'green': ((0.0, 1.0, 1.0),\n", " (0.25, 0.0, 0.0),\n", " (0.5, 0.0, 0.0),\n", " (0.75, 0.0, 0.0),\n", " (1.0, 1.0, 1.0)),\n", "\n", " 'blue': ((0.0, 1.0, 1.0),\n", " (0.25, 1.0, 1.0),\n", " (0.5, 0.0, 0.0),\n", " (1.0, 0.0, 0.0))\n", " }\n", "hotcold = LinearSegmentedColormap('hotcold', cdict)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Paths\n", "mask_path = '/data1/abide/Mask/mask_data_specific.nii.gz'\n", "prior_path = '/data1/cambridge/template/template_cambridge_basc_multiscale_sym_scale012.nii.gz'\n", "pheno_path = '/data1/abide/Pheno/merged_pheno.csv'\n", "sub_path = '/data1/abide/Full/abide_release_sym_gsc0_lp01/Stanford/fmri_0051198_session_1_run1.nii.gz'" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Get the mask\n", "m_img = nib.load(mask_path)\n", "mask = m_img.get_data()!=0" ] }, { "cell_type": "code", "execution_count": 49, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Get the subject\n", "s_img = nib.load(sub_path)\n", "data = s_img.get_data()[mask]" ] }, { "cell_type": "code", "execution_count": 118, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Get the prior\n", "p_img = nib.load(prior_path)\n", "prior = p_img.get_data()\n", "part = prior[mask]" ] }, { "cell_type": "code", "execution_count": 122, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Make an image of just network 8\n", "net_8 = np.zeros_like(prior)\n", "net_8[prior==8] = 1\n", "net_8_img = nib.Nifti1Image(net_8, affine=m_img.get_affine(), header=m_img.get_header())" ] }, { "cell_type": "code", "execution_count": 115, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Make a mean time series for network 8\n", "net_data = data[part==8]\n", "net_mean = np.mean(net_data,0)" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Make some sliding windows\n", "n_img = data.shape[1]\n", "sld_width = 80\n", "window = np.arange(0,n_img-sld_width)" ] }, { "cell_type": "code", "execution_count": 55, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def get_net(w_id, windows, data, sld_width, part):\n", " # Get the correlation of the network average with the rest of the brain in the sliding window\n", " w_start = window[w_id]\n", " w_stop = w_start+sld_width\n", " sld_data = data[:,w_start:w_stop]\n", "\n", " n_img = sld_data.shape[1]\n", " n_vox = sld_data.shape[0]\n", " # Get the network average for all networks\n", " net_avg = np.zeros((12, n_img))\n", " net_corr = np.zeros((12, n_vox))\n", " for n_id, n_val in enumerate(np.arange(1,13)):\n", " net_avg[n_id] = np.mean(sld_data[part==n_val,...],0)\n", " net_corr[n_id, :] = np.array([np.corrcoef(sld_data[i,:],net_avg[n_id,:])[0,1] for i in np.arange(n_vox)])\n", " \n", " bin_part = np.argmax(net_corr,0)\n", " \n", " return net_avg, net_corr, bin_part" ] }, { "cell_type": "code", "execution_count": 57, "metadata": { "collapsed": true }, "outputs": [], "source": [ "s1 = 10\n", "s2 = 70\n", "s3 = 130" ] }, { "cell_type": "code", "execution_count": 84, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def run_par(args):\n", " w, window, data, sld_width, part = args\n", " a1, c1, p1 = get_net(w, window, data, sld_width, part)\n", " np1 = np.zeros(part.shape)\n", " np1[p1==7] = 1\n", " out = make_image(np1, m_img)[1]\n", " \n", " return out" ] }, { "cell_type": "code", "execution_count": 82, "metadata": { "collapsed": false }, "outputs": [], "source": [ "job_list = list()\n", "for w_id, w in enumerate(window):\n", " job_list.append((w, window, data, sld_width, part))" ] }, { "cell_type": "code", "execution_count": 83, "metadata": { "collapsed": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Running w 1\n", "Running w 7\n", "Running w 13\n", "Running w 19\n", "Running w 25\n", "Running w 31\n", "Running w 37\n", "Running w 2Running w 8Running w 14Running w 20Running w 26Running w 32Running w 38\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 3Running w 9Running w 15Running w 21Running w 27Running w 33Running w 39\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 4Running w 10Running w 16Running w 22Running w 28Running w 34Running w 40\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 5Running w 11Running w 17Running w 23Running w 29Running w 35Running w 41\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 6Running w 12Running w 18Running w 24Running w 30Running w 36Running w 42\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 43Running w 55Running w 49Running w 67Running w 61Running w 73Running w 79\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 44Running w 56Running w 50Running w 68Running w 62Running w 74Running w 80\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 45Running w 57Running w 51Running w 69Running w 63Running w 75Running w 81\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 46Running w 58Running w 52Running w 70Running w 64Running w 76Running w 82\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 47Running w 59Running w 53Running w 71Running w 65Running w 77Running w 83\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 48Running w 60Running w 54Running w 72Running w 66Running w 78Running w 84\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 85Running w 109Running w 103Running w 115Running w 91Running w 97Running w 121\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 86Running w 110Running w 104Running w 116Running w 92Running w 98Running w 122\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 87Running w 111Running w 105Running w 117Running w 93Running w 99Running w 123\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 88Running w 112Running w 106Running w 118Running w 94Running w 100Running w 124\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 89Running w 113Running w 107Running w 119Running w 95Running w 101Running w 125\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 90Running w 114Running w 108Running w 120Running w 96Running w 102Running w 126\n", "\n", "\n", "\n", "\n", "\n", "\n", "Running w 127Running w 133Running w 139\n", "\n", "\n", "Running w 128Running w 134Running w 140\n", "\n", "\n", "Running w 129Running w 135Running w 141\n", "\n", "\n", "Running w 130Running w 136Running w 142\n", "\n", "\n", "Running w 131Running w 137\n", "\n", "Running w 132Running w 138\n", "\n" ] } ], "source": [ "p = mp.Pool(processes=7)\n", "results = p.map(run_par, job_list)" ] }, { "cell_type": "code", "execution_count": 89, "metadata": { "collapsed": false }, "outputs": [], "source": [ "net1 = np.zeros_like(mask, dtype=float)\n", "for res in results:\n", " net1 += res\n", "net1 = net1/len(res)" ] }, { "cell_type": "code", "execution_count": 95, "metadata": { "collapsed": false }, "outputs": [], "source": [ "ni_avg1 = nib.Nifti1Image(net1, affine=m_img.get_affine(), header=m_img.get_header())" ] }, { "cell_type": "code", "execution_count": 94, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<nilearn.plotting.displays.OrthoProjector at 0x70d8f50>" ] }, "execution_count": 94, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAgcAAADcCAYAAADkxwL+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngRPCOBAIBAKBQODE/wcyEU7Ef4/Y5AAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x71a3e10>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "nlp.plot_glass_brain(ni1)" ] }, { "cell_type": "code", "execution_count": 107, "metadata": { "collapsed": false }, "outputs": [], "source": [ "a1, c1, p1 = get_net(s1, window, data, sld_width, part)\n", "np1 = np.zeros_like(part)\n", "np1[p1==7] = 1\n", "ni1 = make_image(np1, m_img)\n", "ci1 = make_image(c1[7,:], m_img)\n", "\n", "a2, c2, p2 = get_net(s2, window, data, sld_width, part)\n", "np2 = np.zeros_like(part)\n", "np2[p2==7] = 1\n", "ni2 = make_image(np2, m_img)\n", "ci2 = make_image(c2[7,:], m_img)\n", "\n", "a3, c3, p3 = get_net(s3, window, data, sld_width, part)\n", "np3 = np.zeros_like(part)\n", "np3[p3==7] = 1\n", "ni3 = make_image(np3, m_img)\n", "ci3 = make_image(c3[7,:], m_img)" ] }, { "cell_type": "code", "execution_count": 138, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAzkAAAHpCAYAAAChs/IfAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n/AeekHlFWhClqQAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x5678e550>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Make a static figure\n", "fig = plt.figure(figsize=(14,8))\n", "gs = gridspec.GridSpec(3, 3, hspace=0.6)\n", "ax_prior = fig.add_subplot(gs[0,0])\n", "nlp.plot_stat_map(net_8_img, axes=ax_prior, colorbar=False, display_mode='x', cut_coords=(0,), cmap=cm.RdBu_r)\n", "ax_prior.set_title('Prior')\n", "\n", "ax_time = fig.add_subplot(gs[0,1])\n", "ax_time.plot(net_mean)\n", "ax_time.axvspan(window[s1], window[s1]+sld_width, color='red', alpha=0.5)\n", "ax_time.axvspan(window[s2], window[s2]+sld_width, color='yellow', alpha=0.5)\n", "ax_time.axvspan(window[s3], window[s3]+sld_width, color='blue', alpha=0.5)\n", "ax_time.set_xlim([0,220])\n", "ax_time.set_xlabel('time')\n", "ax_time.set_ylabel('network signal')\n", "ax_time.set_title('Average signal in network 8')\n", "ax_time.set_yticklabels([])\n", "\n", "ax_stab = fig.add_subplot(gs[0,2])\n", "nlp.plot_stat_map(ni_avg1, axes=ax_stab, colorbar=False, display_mode='x', cut_coords=(0,), cmap=hotcold)\n", "ax_stab.set_title('Stability map')\n", "\n", "ax_corr1 = fig.add_subplot(gs[1,0])\n", "nlp.plot_glass_brain(ci1[0], cmap=hotcold, axes=ax_corr1, vmin=-1, vmax=1)\n", "ax_corr1.set_title('seed window 1')\n", "\n", "ax_bin1 = fig.add_subplot(gs[2,0])\n", "nlp.plot_stat_map(ni1[0], axes=ax_bin1, colorbar=False, display_mode='x', cut_coords=(0,), cmap=cm.RdBu_r)\n", "ax_bin1.set_title('winner take all partition')\n", "\n", "ax_corr2 = fig.add_subplot(gs[1,1])\n", "nlp.plot_glass_brain(ci2[0], cmap=hotcold, axes=ax_corr2, vmin=-1, vmax=1)\n", "ax_corr2.set_title('seed window 2')\n", "\n", "ax_bin2 = fig.add_subplot(gs[2,1])\n", "nlp.plot_stat_map(ni2[0], axes=ax_bin2, colorbar=False, display_mode='x', cut_coords=(0,), cmap=cm.RdBu_r)\n", "ax_bin2.set_title('winner take all partition')\n", "\n", "ax_corr3 = fig.add_subplot(gs[1,2])\n", "nlp.plot_glass_brain(ci3[0], cmap=hotcold, axes=ax_corr3, vmin=-1, vmax=1)\n", "ax_corr3.set_title('seed window 3')\n", "\n", "ax_bin3 = fig.add_subplot(gs[2,2])\n", "nlp.plot_stat_map(ni3[0], axes=ax_bin3, colorbar=False, display_mode='x', cut_coords=(0,), cmap=cm.RdBu_r)\n", "ax_bin3.set_title('winner take all partition')\n", "fig.savefig('static_figure.svg', dpi=300)" ] }, { "cell_type": "code", "execution_count": 140, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "u'/home/surchs/Code/Projects/preventad'" ] }, "execution_count": 140, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pwd" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.6" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
PyLCARS/PythonUberHDL
myHDL_DigLogicFundamentals/myHDL_Combinational/Multiplexers(MUX).ipynb
1
312770
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "\\title{myHDL Combinational Logic Elements: Multiplexers (MUXs))}\n", "\\author{Steven K Armour}\n", "\\maketitle" ] }, { "cell_type": "markdown", "metadata": { "toc": true }, "source": [ "<h1>Table of Contents<span class=\"tocSkip\"></span></h1>\n", "<div class=\"toc\" style=\"margin-top: 1em;\"><ul class=\"toc-item\"><li><span><a href=\"#Refrances\" data-toc-modified-id=\"Refrances-1\"><span class=\"toc-item-num\">1&nbsp;&nbsp;</span>Refrances</a></span></li><li><span><a href=\"#Libraries-and-Helper-functions\" data-toc-modified-id=\"Libraries-and-Helper-functions-2\"><span class=\"toc-item-num\">2&nbsp;&nbsp;</span>Libraries and Helper functions</a></span></li><li><span><a href=\"#Multiplexers\" data-toc-modified-id=\"Multiplexers-3\"><span class=\"toc-item-num\">3&nbsp;&nbsp;</span>Multiplexers</a></span></li><li><span><a href=\"#2-Channel-Input:1-Channel-Output-multiplexer-in-Gate-Level-Logic\" data-toc-modified-id=\"2-Channel-Input:1-Channel-Output-multiplexer-in-Gate-Level-Logic-4\"><span class=\"toc-item-num\">4&nbsp;&nbsp;</span>2 Channel Input:1 Channel Output multiplexer in Gate Level Logic</a></span><ul class=\"toc-item\"><li><span><a href=\"#Sympy-Expression\" data-toc-modified-id=\"Sympy-Expression-4.1\"><span class=\"toc-item-num\">4.1&nbsp;&nbsp;</span>Sympy Expression</a></span></li><li><span><a href=\"#myHDL-Module\" data-toc-modified-id=\"myHDL-Module-4.2\"><span class=\"toc-item-num\">4.2&nbsp;&nbsp;</span>myHDL Module</a></span></li><li><span><a href=\"#myHDL-Testing\" data-toc-modified-id=\"myHDL-Testing-4.3\"><span class=\"toc-item-num\">4.3&nbsp;&nbsp;</span>myHDL Testing</a></span></li><li><span><a href=\"#Verilog-Conversion\" data-toc-modified-id=\"Verilog-Conversion-4.4\"><span class=\"toc-item-num\">4.4&nbsp;&nbsp;</span>Verilog Conversion</a></span></li><li><span><a href=\"#myHDL-to-Verilog-Testbench\" data-toc-modified-id=\"myHDL-to-Verilog-Testbench-4.5\"><span class=\"toc-item-num\">4.5&nbsp;&nbsp;</span>myHDL to Verilog Testbench</a></span></li><li><span><a href=\"#PYNQ-Z1-Deployment\" data-toc-modified-id=\"PYNQ-Z1-Deployment-4.6\"><span class=\"toc-item-num\">4.6&nbsp;&nbsp;</span>PYNQ-Z1 Deployment</a></span><ul class=\"toc-item\"><li><span><a href=\"#Board-Circuit\" data-toc-modified-id=\"Board-Circuit-4.6.1\"><span class=\"toc-item-num\">4.6.1&nbsp;&nbsp;</span>Board Circuit</a></span></li><li><span><a href=\"#Board-Constraint\" data-toc-modified-id=\"Board-Constraint-4.6.2\"><span class=\"toc-item-num\">4.6.2&nbsp;&nbsp;</span>Board Constraint</a></span></li><li><span><a href=\"#Video-of-Deployment\" data-toc-modified-id=\"Video-of-Deployment-4.6.3\"><span class=\"toc-item-num\">4.6.3&nbsp;&nbsp;</span>Video of Deployment</a></span></li></ul></li></ul></li><li><span><a href=\"#4-Channel-Input-:-1-Channel-Output-multiplexer-in-Gate-Level-Logic\" data-toc-modified-id=\"4-Channel-Input-:-1-Channel-Output-multiplexer-in-Gate-Level-Logic-5\"><span class=\"toc-item-num\">5&nbsp;&nbsp;</span>4 Channel Input : 1 Channel Output multiplexer in Gate Level Logic</a></span><ul class=\"toc-item\"><li><span><a href=\"#Sympy-Expression\" data-toc-modified-id=\"Sympy-Expression-5.1\"><span class=\"toc-item-num\">5.1&nbsp;&nbsp;</span>Sympy Expression</a></span></li><li><span><a href=\"#myHDL-Module\" data-toc-modified-id=\"myHDL-Module-5.2\"><span class=\"toc-item-num\">5.2&nbsp;&nbsp;</span>myHDL Module</a></span></li><li><span><a href=\"#myHDL-Testing\" data-toc-modified-id=\"myHDL-Testing-5.3\"><span class=\"toc-item-num\">5.3&nbsp;&nbsp;</span>myHDL Testing</a></span></li><li><span><a href=\"#Verilog-Conversion\" data-toc-modified-id=\"Verilog-Conversion-5.4\"><span class=\"toc-item-num\">5.4&nbsp;&nbsp;</span>Verilog Conversion</a></span></li><li><span><a href=\"#myHDL-to-Verilog-Testbench\" data-toc-modified-id=\"myHDL-to-Verilog-Testbench-5.5\"><span class=\"toc-item-num\">5.5&nbsp;&nbsp;</span>myHDL to Verilog Testbench</a></span></li><li><span><a href=\"#PYNQ-Z1-Deployment\" data-toc-modified-id=\"PYNQ-Z1-Deployment-5.6\"><span class=\"toc-item-num\">5.6&nbsp;&nbsp;</span>PYNQ-Z1 Deployment</a></span><ul class=\"toc-item\"><li><span><a href=\"#Board-Circuit\" data-toc-modified-id=\"Board-Circuit-5.6.1\"><span class=\"toc-item-num\">5.6.1&nbsp;&nbsp;</span>Board Circuit</a></span></li><li><span><a href=\"#Board-Constraint\" data-toc-modified-id=\"Board-Constraint-5.6.2\"><span class=\"toc-item-num\">5.6.2&nbsp;&nbsp;</span>Board Constraint</a></span></li><li><span><a href=\"#Video-of-Deployment\" data-toc-modified-id=\"Video-of-Deployment-5.6.3\"><span class=\"toc-item-num\">5.6.3&nbsp;&nbsp;</span>Video of Deployment</a></span></li></ul></li></ul></li><li><span><a href=\"#Shannon's-Expansion-Formula-&amp;-Stacking-of-MUXs\" data-toc-modified-id=\"Shannon's-Expansion-Formula-&amp;-Stacking-of-MUXs-6\"><span class=\"toc-item-num\">6&nbsp;&nbsp;</span>Shannon's Expansion Formula &amp; Stacking of MUXs</a></span></li><li><span><a href=\"#4-Channel-Input:-1-Channel-Output-multiplexer-via-MUX-Stacking\" data-toc-modified-id=\"4-Channel-Input:-1-Channel-Output-multiplexer-via-MUX-Stacking-7\"><span class=\"toc-item-num\">7&nbsp;&nbsp;</span>4 Channel Input: 1 Channel Output multiplexer via MUX Stacking</a></span><ul class=\"toc-item\"><li><span><a href=\"#myHDL-Module\" data-toc-modified-id=\"myHDL-Module-7.1\"><span class=\"toc-item-num\">7.1&nbsp;&nbsp;</span>myHDL Module</a></span></li><li><span><a href=\"#myHDL-Testing\" data-toc-modified-id=\"myHDL-Testing-7.2\"><span class=\"toc-item-num\">7.2&nbsp;&nbsp;</span>myHDL Testing</a></span></li><li><span><a href=\"#Verilog-Conversion\" data-toc-modified-id=\"Verilog-Conversion-7.3\"><span class=\"toc-item-num\">7.3&nbsp;&nbsp;</span>Verilog Conversion</a></span></li><li><span><a href=\"#myHDL-to-Verilog-Testbench\" data-toc-modified-id=\"myHDL-to-Verilog-Testbench-7.4\"><span class=\"toc-item-num\">7.4&nbsp;&nbsp;</span>myHDL to Verilog Testbench</a></span></li><li><span><a href=\"#PYNQ-Z1-Deployment\" data-toc-modified-id=\"PYNQ-Z1-Deployment-7.5\"><span class=\"toc-item-num\">7.5&nbsp;&nbsp;</span>PYNQ-Z1 Deployment</a></span><ul class=\"toc-item\"><li><span><a href=\"#Board-Circuit\" data-toc-modified-id=\"Board-Circuit-7.5.1\"><span class=\"toc-item-num\">7.5.1&nbsp;&nbsp;</span>Board Circuit</a></span></li><li><span><a href=\"#Board-Constraint\" data-toc-modified-id=\"Board-Constraint-7.5.2\"><span class=\"toc-item-num\">7.5.2&nbsp;&nbsp;</span>Board Constraint</a></span></li><li><span><a href=\"#Video-of-Deployment\" data-toc-modified-id=\"Video-of-Deployment-7.5.3\"><span class=\"toc-item-num\">7.5.3&nbsp;&nbsp;</span>Video of Deployment</a></span></li></ul></li></ul></li><li><span><a href=\"#Introduction-to-HDL-Behavioral-Modeling\" data-toc-modified-id=\"Introduction-to-HDL-Behavioral-Modeling-8\"><span class=\"toc-item-num\">8&nbsp;&nbsp;</span>Introduction to HDL Behavioral Modeling</a></span></li><li><span><a href=\"#2:1-MUX-via-Behavioral-IF\" data-toc-modified-id=\"2:1-MUX-via-Behavioral-IF-9\"><span class=\"toc-item-num\">9&nbsp;&nbsp;</span>2:1 MUX via Behavioral IF</a></span><ul class=\"toc-item\"><li><span><a href=\"#myHDL-Module\" data-toc-modified-id=\"myHDL-Module-9.1\"><span class=\"toc-item-num\">9.1&nbsp;&nbsp;</span>myHDL Module</a></span></li><li><span><a href=\"#myHDL-Testing\" data-toc-modified-id=\"myHDL-Testing-9.2\"><span class=\"toc-item-num\">9.2&nbsp;&nbsp;</span>myHDL Testing</a></span></li><li><span><a href=\"#Verilog-Conversion\" data-toc-modified-id=\"Verilog-Conversion-9.3\"><span class=\"toc-item-num\">9.3&nbsp;&nbsp;</span>Verilog Conversion</a></span></li><li><span><a href=\"#myHDL-to-Verilog-Testbench\" data-toc-modified-id=\"myHDL-to-Verilog-Testbench-9.4\"><span class=\"toc-item-num\">9.4&nbsp;&nbsp;</span>myHDL to Verilog Testbench</a></span></li><li><span><a href=\"#PYNQ-Z1-Deployment\" data-toc-modified-id=\"PYNQ-Z1-Deployment-9.5\"><span class=\"toc-item-num\">9.5&nbsp;&nbsp;</span>PYNQ-Z1 Deployment</a></span><ul class=\"toc-item\"><li><span><a href=\"#Board-Circuit\" data-toc-modified-id=\"Board-Circuit-9.5.1\"><span class=\"toc-item-num\">9.5.1&nbsp;&nbsp;</span>Board Circuit</a></span></li><li><span><a href=\"#Board-Constraint\" data-toc-modified-id=\"Board-Constraint-9.5.2\"><span class=\"toc-item-num\">9.5.2&nbsp;&nbsp;</span>Board Constraint</a></span></li><li><span><a href=\"#Video-of-Deployment\" data-toc-modified-id=\"Video-of-Deployment-9.5.3\"><span class=\"toc-item-num\">9.5.3&nbsp;&nbsp;</span>Video of Deployment</a></span></li></ul></li></ul></li><li><span><a href=\"#4:1-MUX-via-Behavioral-if-elif-else\" data-toc-modified-id=\"4:1-MUX-via-Behavioral-if-elif-else-10\"><span class=\"toc-item-num\">10&nbsp;&nbsp;</span>4:1 MUX via Behavioral if-elif-else</a></span><ul class=\"toc-item\"><li><span><a href=\"#myHDL-Module\" data-toc-modified-id=\"myHDL-Module-10.1\"><span class=\"toc-item-num\">10.1&nbsp;&nbsp;</span>myHDL Module</a></span></li><li><span><a href=\"#myHDL-Testing\" data-toc-modified-id=\"myHDL-Testing-10.2\"><span class=\"toc-item-num\">10.2&nbsp;&nbsp;</span>myHDL Testing</a></span></li><li><span><a href=\"#Verilog-Conversion\" data-toc-modified-id=\"Verilog-Conversion-10.3\"><span class=\"toc-item-num\">10.3&nbsp;&nbsp;</span>Verilog Conversion</a></span></li><li><span><a href=\"#myHDL-to-Verilog-Testbench\" data-toc-modified-id=\"myHDL-to-Verilog-Testbench-10.4\"><span class=\"toc-item-num\">10.4&nbsp;&nbsp;</span>myHDL to Verilog Testbench</a></span></li><li><span><a href=\"#PYNQ-Z1-Deployment\" data-toc-modified-id=\"PYNQ-Z1-Deployment-10.5\"><span class=\"toc-item-num\">10.5&nbsp;&nbsp;</span>PYNQ-Z1 Deployment</a></span><ul class=\"toc-item\"><li><span><a href=\"#Board-Circuit\" data-toc-modified-id=\"Board-Circuit-10.5.1\"><span class=\"toc-item-num\">10.5.1&nbsp;&nbsp;</span>Board Circuit</a></span></li><li><span><a href=\"#Board-Constraint\" data-toc-modified-id=\"Board-Constraint-10.5.2\"><span class=\"toc-item-num\">10.5.2&nbsp;&nbsp;</span>Board Constraint</a></span></li><li><span><a href=\"#Video-of-Deployment\" data-toc-modified-id=\"Video-of-Deployment-10.5.3\"><span class=\"toc-item-num\">10.5.3&nbsp;&nbsp;</span>Video of Deployment</a></span></li></ul></li></ul></li><li><span><a href=\"#Multiplexer-4:1-Behavioral-via-Bitvectors\" data-toc-modified-id=\"Multiplexer-4:1-Behavioral-via-Bitvectors-11\"><span class=\"toc-item-num\">11&nbsp;&nbsp;</span>Multiplexer 4:1 Behavioral via Bitvectors</a></span><ul class=\"toc-item\"><li><span><a href=\"#myHDL-Module\" data-toc-modified-id=\"myHDL-Module-11.1\"><span class=\"toc-item-num\">11.1&nbsp;&nbsp;</span>myHDL Module</a></span></li><li><span><a href=\"#myHDL-Testing\" data-toc-modified-id=\"myHDL-Testing-11.2\"><span class=\"toc-item-num\">11.2&nbsp;&nbsp;</span>myHDL Testing</a></span></li><li><span><a href=\"#Verilog-Conversion\" data-toc-modified-id=\"Verilog-Conversion-11.3\"><span class=\"toc-item-num\">11.3&nbsp;&nbsp;</span>Verilog Conversion</a></span></li><li><span><a href=\"#myHDL-to-Verilog-Testbench\" data-toc-modified-id=\"myHDL-to-Verilog-Testbench-11.4\"><span class=\"toc-item-num\">11.4&nbsp;&nbsp;</span>myHDL to Verilog Testbench</a></span></li><li><span><a href=\"#PYNQ-Z1-Deployment\" data-toc-modified-id=\"PYNQ-Z1-Deployment-11.5\"><span class=\"toc-item-num\">11.5&nbsp;&nbsp;</span>PYNQ-Z1 Deployment</a></span><ul class=\"toc-item\"><li><span><a href=\"#Board-Circuit\" data-toc-modified-id=\"Board-Circuit-11.5.1\"><span class=\"toc-item-num\">11.5.1&nbsp;&nbsp;</span>Board Circuit</a></span></li><li><span><a href=\"#Board-Constraint\" data-toc-modified-id=\"Board-Constraint-11.5.2\"><span class=\"toc-item-num\">11.5.2&nbsp;&nbsp;</span>Board Constraint</a></span></li><li><span><a href=\"#Video-of-Deployment\" data-toc-modified-id=\"Video-of-Deployment-11.5.3\"><span class=\"toc-item-num\">11.5.3&nbsp;&nbsp;</span>Video of Deployment</a></span></li></ul></li></ul></li></ul></div>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Refrances\n", "@misc{xu_2018,\n", "title={Introduction to Digital Systems Supplementary Reading Shannon's Expansion Formulas and Compressed Truth Table},\n", "author={Xu, Xuping},\n", "year={Fall 2017}\n", "site=http://ecse.bd.psu.edu/cse271/comprttb.pdf\n", "}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Libraries and Helper functions" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "data": { "application/json": { "Software versions": [ { "module": "Python", "version": "3.6.2 64bit [GCC 4.4.7 20120313 (Red Hat 4.4.7-1)]" }, { "module": "IPython", "version": "6.2.1" }, { "module": "OS", "version": "Linux 4.15.0 30 generic x86_64 with debian stretch sid" }, { "module": "myhdl", "version": "0.10" }, { "module": "myhdlpeek", "version": "0.0.6" }, { "module": "numpy", "version": "1.13.3" }, { "module": "pandas", "version": "0.23.4" }, { "module": "matplotlib", "version": "2.1.0" }, { "module": "sympy", "version": "1.3" }, { "module": "itertools", "version": "The 'itertools' distribution was not found and is required by the application" }, { "module": "SchemDraw", "version": "0.3.0" } ] }, "text/html": [ "<table><tr><th>Software</th><th>Version</th></tr><tr><td>Python</td><td>3.6.2 64bit [GCC 4.4.7 20120313 (Red Hat 4.4.7-1)]</td></tr><tr><td>IPython</td><td>6.2.1</td></tr><tr><td>OS</td><td>Linux 4.15.0 30 generic x86_64 with debian stretch sid</td></tr><tr><td>myhdl</td><td>0.10</td></tr><tr><td>myhdlpeek</td><td>0.0.6</td></tr><tr><td>numpy</td><td>1.13.3</td></tr><tr><td>pandas</td><td>0.23.4</td></tr><tr><td>matplotlib</td><td>2.1.0</td></tr><tr><td>sympy</td><td>1.3</td></tr><tr><td>itertools</td><td>The 'itertools' distribution was not found and is required by the application</td></tr><tr><td>SchemDraw</td><td>0.3.0</td></tr><tr><td colspan='2'>Sun Sep 23 18:19:19 2018 MDT</td></tr></table>" ], "text/latex": [ "\\begin{tabular}{|l|l|}\\hline\n", "{\\bf Software} & {\\bf Version} \\\\ \\hline\\hline\n", "Python & 3.6.2 64bit [GCC 4.4.7 20120313 (Red Hat 4.4.7-1)] \\\\ \\hline\n", "IPython & 6.2.1 \\\\ \\hline\n", "OS & Linux 4.15.0 30 generic x86\\_64 with debian stretch sid \\\\ \\hline\n", "myhdl & 0.10 \\\\ \\hline\n", "myhdlpeek & 0.0.6 \\\\ \\hline\n", "numpy & 1.13.3 \\\\ \\hline\n", "pandas & 0.23.4 \\\\ \\hline\n", "matplotlib & 2.1.0 \\\\ \\hline\n", "sympy & 1.3 \\\\ \\hline\n", "itertools & The 'itertools' distribution was not found and is required by the application \\\\ \\hline\n", "SchemDraw & 0.3.0 \\\\ \\hline\n", "\\hline \\multicolumn{2}{|l|}{Sun Sep 23 18:19:19 2018 MDT} \\\\ \\hline\n", "\\end{tabular}\n" ], "text/plain": [ "Software versions\n", "Python 3.6.2 64bit [GCC 4.4.7 20120313 (Red Hat 4.4.7-1)]\n", "IPython 6.2.1\n", "OS Linux 4.15.0 30 generic x86_64 with debian stretch sid\n", "myhdl 0.10\n", "myhdlpeek 0.0.6\n", "numpy 1.13.3\n", "pandas 0.23.4\n", "matplotlib 2.1.0\n", "sympy 1.3\n", "itertools The 'itertools' distribution was not found and is required by the application\n", "SchemDraw 0.3.0\n", "Sun Sep 23 18:19:19 2018 MDT" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#This notebook also uses the `(some) LaTeX environments for Jupyter`\n", "#https://github.com/ProfFan/latex_envs wich is part of the\n", "#jupyter_contrib_nbextensions package\n", "\n", "from myhdl import *\n", "from myhdlpeek import Peeker\n", "import numpy as np\n", "import pandas as pd\n", "import matplotlib.pyplot as plt\n", "%matplotlib inline\n", "\n", "from sympy import *\n", "init_printing()\n", "\n", "import itertools\n", "\n", "#https://github.com/jrjohansson/version_information\n", "%load_ext version_information\n", "%version_information myhdl, myhdlpeek, numpy, pandas, matplotlib, sympy, itertools, SchemDraw" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "#helper functions to read in the .v and .vhd generated files into python\n", "def VerilogTextReader(loc, printresult=True):\n", " with open(f'{loc}.v', 'r') as vText:\n", " VerilogText=vText.read()\n", " if printresult:\n", " print(f'***Verilog modual from {loc}.v***\\n\\n', VerilogText)\n", " return VerilogText\n", "\n", "def VHDLTextReader(loc, printresult=True):\n", " with open(f'{loc}.vhd', 'r') as vText:\n", " VerilogText=vText.read()\n", " if printresult:\n", " print(f'***VHDL modual from {loc}.vhd***\\n\\n', VerilogText)\n", " return VerilogText\n", "\n", "def ConstraintXDCTextReader(loc, printresult=True):\n", " with open(f'{loc}.xdc', 'r') as xdcText:\n", " ConstraintText=xdcText.read()\n", " if printresult:\n", " print(f'***Constraint file from {loc}.xdc***\\n\\n', ConstraintText)\n", " return ConstraintText" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "def TruthTabelGenrator(BoolSymFunc):\n", " \"\"\"\n", " Function to generate a truth table from a sympy boolian expression\n", " BoolSymFunc: sympy boolian expression\n", " return TT: a Truth table stored in a pandas dataframe\n", " \"\"\"\n", " colsL=sorted([i for i in list(BoolSymFunc.rhs.atoms())], key=lambda x:x.sort_key())\n", " colsR=sorted([i for i in list(BoolSymFunc.lhs.atoms())], key=lambda x:x.sort_key())\n", " bitwidth=len(colsL)\n", " cols=colsL+colsR; cols\n", " \n", " TT=pd.DataFrame(columns=cols, index=range(2**bitwidth))\n", " \n", " for i in range(2**bitwidth):\n", " inputs=[int(j) for j in list(np.binary_repr(i, bitwidth))]\n", " outputs=BoolSymFunc.rhs.subs({j:v for j, v in zip(colsL, inputs)})\n", " inputs.append(int(bool(outputs)))\n", " TT.iloc[i]=inputs\n", " \n", " return TT\n", " \n", " \n", " " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Multiplexers\n", "\\begin{definition}\\label{def:MUX}\n", "A Multiplexer, typically referred to as a MUX, is a Digital(or analog) switching unit that picks one input channel to be streamed to an output via a control input. For single output MUXs with $2^n$ inputs, there are then $n$ input selection signals that make up the control word to select the input channel for output.\n", "\n", "From a behavioral standpoint, a MUX can be thought of as an element that performs the same functionality as the `if-elif-else (case)` control statements found in almost every software language.\n", "\\end{definition}\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 2 Channel Input:1 Channel Output multiplexer in Gate Level Logic\n", "\n", "\\begin{figure}\n", "\\centerline{\\includegraphics{MUX21Gate.png}}\n", "\\caption{\\label{fig:M21G} 2:1 MUX Symbol and Gate internals}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Sympy Expression" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAANYAAAAUBAMAAAAD7d6iAAAAMFBMVEX///8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAv3aB7AAAAD3RSTlMAEHaZIu+JVM27RDKrZt2dj8xZAAAACXBIWXMAAA7EAAAOxAGVKw4bAAADFUlEQVRIDZ1WPWgTYRh+Lj0vSWPSo4N0KPaaQl0s7SRVEQ9FioIadBCcars4aQRdJaCC1kWwi6g0uHWxERcLQkPpVEFCp4Io2kUHf1JRRB30fd67S770Yga/4f153ue5974v790FaLfsWjs0xLL+1mJH+j9VYeHo1qu15CstmSSd6SE7pgrx68bVbCMOwj51FdqMhiZdgbgGCFRaNk12zMhSZqK449G9oFmjaaETQFwDBCotmybnG9niOyPR0CrQPafRWgudKOIaIFBp2TQnzGQ1b2Yaz9CmfKBb99xCV0IbDTADa8jDEVcZDTPEyMm/orNKOTpY+ZVHUxoB0/RJ6ZP2GZHeu/vYsMeEK9IEmdipkQpVTrKAUYLnb3JdY/iQZgZ36ZyiU6TfgTfeB+AZ43Uant+iBkK3JrMbuRKGhxWINJqISZXTcmPrmOgq4V4Ehv69eLuOJ0xzsEvicA4X3ct4+pLxVRrI2a5qIHTHdTaTfnYyWSUSabo+c03iJDIuVe42D19V0jRnJbS+71NAJk0nzsUt5tpmSSs75aw0ELqF1B3pUcYmkUijVbuKgdNlCUW14GZ+K9g07IX5P6I2Tiq4I6NXV9GpkQGl93jAQAVnCDROl4n06p77KYH0GkeiQOyCbvgjQ57hBHq/ieMEcOLksdU70l6PmcMOp0bpWKrI1Xx8kkJTo7SqXbRGfUBUD5CuEjMWZ+MGUBfHPtTiUqKOwfAMg9nAfX2SdZSS7igS7pKLDVMjMfeVKqBHAlHtxUJNwabhTM8i4YnTSZMz6f6RrvMVq/vaE1DnBecS+kJxDse5r1OSRxotAhV5MmSOIKr+qYN+iEaOZ3RoZBdTnTRhWsuDQ68l1163WZE9c7+yhN6f7z1Q4+/1S/JIo0Uxdn6tKE5V4xEYeeOlUyaWiQpBL/ttkNtu4Bv0XDCHZcKGJmCJanvJ4r20rPjLtFG+IpHjNVINGvTEWLLaWjIyUeVqac9AgnA2hoTA4S/Lbb4ODfr0dLjVNvo++dHz++OFzh+/xqUjYWd6yIqpQrzjR/2//wP8BaOIrfYJ0STnAAAAAElFTkSuQmCC\n", "text/latex": [ "$$y = \\left(s \\wedge x_{1}\\right) \\vee \\left(x_{0} \\wedge \\neg s\\right)$$" ], "text/plain": [ "y = (s ∧ x₁) ∨ (x₀ ∧ ¬s)" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "x0, x1, s, y=symbols('x0, x1, s, y')\n", "y21Eq=Eq(y, (~s&x0) |(s&x1) ); y21Eq" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>x1</th>\n", " <th>x0</th>\n", " <th>s</th>\n", " <th>y</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " x1 x0 s y\n", "0 0 0 0 0\n", "1 1 0 0 0\n", "2 0 1 0 1\n", "3 1 1 0 1\n", "4 0 0 1 0\n", "5 1 0 1 1\n", "6 0 1 1 0\n", "7 1 1 1 1" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "TruthTabelGenrator(y21Eq)[[x1, x0, s, y]]" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[[0 0 0]\n", " [0 0 1]\n", " [0 1 0]\n", " [0 1 1]\n", " [1 0 0]\n", " [1 0 1]\n", " [1 1 0]\n", " [1 1 1]]\n" ] }, { "data": { "text/plain": [ "array([0, 0, 1, 1, 0, 1, 0, 1])" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "y21EqN=lambdify([x0, x1, s], y21Eq.rhs, dummify=False)\n", "SystmaticVals=np.array(list(itertools.product([0,1], repeat=3)))\n", "print(SystmaticVals)\n", "y21EqN(SystmaticVals[:, 1], SystmaticVals[:, 2], SystmaticVals[:, 0]).astype(int)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL Module" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [], "source": [ "@block\n", "def MUX2_1_Combo(x0, x1, s, y):\n", " \"\"\"\n", " 2:1 Multiplexer written in full combo\n", " Input:\n", " x0(bool): input channel 0\n", " x1(bool): input channel 1\n", " s(bool): channel selection input \n", " Output:\n", " y(bool): ouput\n", " \"\"\"\n", " \n", " @always_comb\n", " def logic():\n", " y.next= (not s and x0) |(s and x1)\n", " \n", " return instances()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL Testing" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(array([0, 0, 1, 1, 0, 0, 1, 1, 0, 1, 0, 1, 1, 0, 0, 1, 1, 1]),\n", " array([0, 1, 0, 1, 0, 1, 0, 1, 1, 0, 1, 1, 1, 1, 0, 1, 1, 0]),\n", " array([0, 0, 0, 0, 1, 1, 1, 1, 1, 1, 1, 0, 0, 1, 0, 1, 0, 1]),\n", " 18)" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#generate systmatic and random test values \n", "#stimules inputs X1 and X2\n", "TestLen=10\n", "SystmaticVals=list(itertools.product([0,1], repeat=3))\n", "\n", "x0TVs=np.array([i[1] for i in SystmaticVals]).astype(int)\n", "np.random.seed(15)\n", "x0TVs=np.append(x0TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "x1TVs=np.array([i[2] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(16)\n", "x1TVs=np.append(x1TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "sTVs=np.array([i[0] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(17)\n", "sTVs=np.append(sTVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "\n", "TestLen=len(x0TVs)\n", "x0TVs, x1TVs, sTVs, TestLen" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [], "source": [ "Peeker.clear()\n", "x0=Signal(bool(0)); Peeker(x0, 'x0')\n", "x1=Signal(bool(0)); Peeker(x1, 'x1')\n", "s=Signal(bool(0)); Peeker(s, 's')\n", "y=Signal(bool(0)); Peeker(y, 'y')\n", "\n", "DUT=MUX2_1_Combo(x0, x1, s, y)\n", "\n", "def MUX2_1_Combo_TB():\n", " \"\"\"\n", " myHDL only testbench for module `MUX2_1_Combo`\n", " \"\"\"\n", " \n", " @instance\n", " def stimules():\n", " for i in range(TestLen):\n", " x0.next=int(x0TVs[i])\n", " x1.next=int(x1TVs[i])\n", " s.next=int(sTVs[i])\n", "\n", " yield delay(1)\n", " \n", " raise StopSimulation()\n", " \n", " return instances()\n", "\n", "sim=Simulation(DUT, MUX2_1_Combo_TB(), *Peeker.instances()).run() " ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div><script type=\"WaveDrom\">{\"signal\": [{\"name\": \"x1\", \"wave\": \"01010101.01...01.0\"}, {\"name\": \"x0\", \"wave\": \"0.1.0.1.0101.0.1..\"}, {}, {}]}</script></div>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/javascript": [ "$.getScript(\"http://wavedrom.com/wavedrom.min.js\", function () {\n", "$.getScript(\"http://wavedrom.com/skins/default.js\", function () {\n", "WaveDrom.ProcessAll();});\n", "});\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "Peeker.to_wavedrom('x1', 'x0', 's', 'y')" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>x1</th>\n", " <th>x0</th>\n", " <th>s</th>\n", " <th>y</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " x1 x0 s y\n", "0 0 0 0 0\n", "1 1 0 0 0\n", "2 0 1 0 1\n", "3 1 1 0 1\n", "4 0 0 1 0\n", "5 1 0 1 1\n", "6 0 1 1 0\n", "7 1 1 1 1\n", "8 1 0 1 1\n", "9 0 1 1 0\n", "10 1 0 1 1\n", "11 1 1 0 1\n", "13 1 0 1 1\n", "14 0 0 0 0\n", "15 1 1 1 1\n", "16 1 1 0 1\n", "17 0 1 1 0" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "MUX2_1_ComboData=Peeker.to_dataframe()\n", "MUX2_1_ComboData=MUX2_1_ComboData[['x1', 'x0', 's', 'y']]\n", "MUX2_1_ComboData" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>x1</th>\n", " <th>x0</th>\n", " <th>s</th>\n", " <th>y</th>\n", " <th>yRef</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " x1 x0 s y yRef\n", "0 0 0 0 0 0\n", "1 1 0 0 0 0\n", "2 0 1 0 1 1\n", "3 1 1 0 1 1\n", "4 0 0 1 0 0\n", "5 1 0 1 1 1\n", "6 0 1 1 0 0\n", "7 1 1 1 1 1\n", "8 1 0 1 1 1\n", "9 0 1 1 0 0\n", "10 1 0 1 1 1\n", "11 1 1 0 1 1\n", "13 1 0 1 1 1\n", "14 0 0 0 0 0\n", "15 1 1 1 1 1\n", "16 1 1 0 1 1\n", "17 0 1 1 0 0" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "MUX2_1_ComboData['yRef']=MUX2_1_ComboData.apply(lambda row:y21EqN(row['x0'], row['x1'], row['s']), axis=1).astype(int)\n", "MUX2_1_ComboData" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Module `MUX2_1_Combo` works as exspected: True\n" ] } ], "source": [ "Test=(MUX2_1_ComboData['y']==MUX2_1_ComboData['yRef']).all()\n", "print(f'Module `MUX2_1_Combo` works as exspected: {Test}')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Verilog Conversion" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "***Verilog modual from MUX2_1_Combo.v***\n", "\n", " // File: MUX2_1_Combo.v\n", "// Generated by MyHDL 0.10\n", "// Date: Sun Sep 23 18:19:36 2018\n", "\n", "\n", "`timescale 1ns/10ps\n", "\n", "module MUX2_1_Combo (\n", " x0,\n", " x1,\n", " s,\n", " y\n", ");\n", "// 2:1 Multiplexer written in full combo\n", "// Input:\n", "// x0(bool): input channel 0\n", "// x1(bool): input channel 1\n", "// s(bool): channel selection input \n", "// Output:\n", "// y(bool): ouput\n", "\n", "input x0;\n", "input x1;\n", "input s;\n", "output y;\n", "wire y;\n", "\n", "\n", "\n", "\n", "\n", "assign y = (((!s) && x0) | (s && x1));\n", "\n", "endmodule\n", "\n" ] } ], "source": [ "DUT.convert()\n", "VerilogTextReader('MUX2_1_Combo');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX2_1_Combo_RTL.png}}\n", "\\caption{\\label{fig:M21CRTL} MUX2_1_Combo RTL schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX2_1_Combo_SYN.png}}\n", "\\caption{\\label{fig:M21CSYN} MUX2_1_Combo Synthesized Schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX2_1_Combo_IMP.png}}\n", "\\caption{\\label{fig:M21CIMP} MUX2_1_Combo Implementated Schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL to Verilog Testbench" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(intbv(52583),\n", " '1100110101100111',\n", " intbv(87798),\n", " '10101011011110110',\n", " intbv(16277),\n", " '11111110010101')" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#create BitVectors\n", "x0TVs=intbv(int(''.join(x0TVs.astype(str)), 2))[TestLen:]\n", "x1TVs=intbv(int(''.join(x1TVs.astype(str)), 2))[TestLen:]\n", "sTVs=intbv(int(''.join(sTVs.astype(str)), 2))[TestLen:]\n", "\n", "x0TVs, bin(x0TVs), x1TVs, bin(x1TVs), sTVs, bin(sTVs)" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "***Verilog modual from MUX2_1_Combo_TBV.v***\n", "\n", " // File: MUX2_1_Combo_TBV.v\n", "// Generated by MyHDL 0.10\n", "// Date: Sun Sep 23 18:19:44 2018\n", "\n", "\n", "`timescale 1ns/10ps\n", "\n", "module MUX2_1_Combo_TBV (\n", "\n", ");\n", "// myHDL -> Verilog testbench for module `MUX2_1_Combo`\n", "\n", "\n", "reg x0 = 0;\n", "reg x1 = 0;\n", "reg s = 0;\n", "wire y;\n", "wire [17:0] x0TV;\n", "wire [17:0] x1TV;\n", "wire [17:0] sTV;\n", "\n", "assign x0TV = 18'd52583;\n", "assign x1TV = 18'd87798;\n", "assign sTV = 18'd16277;\n", "\n", "\n", "always @(s, y, x0, x1) begin: MUX2_1_COMBO_TBV_PRINT_DATA\n", " $write(\"%h\", x0);\n", " $write(\" \");\n", " $write(\"%h\", x1);\n", " $write(\" \");\n", " $write(\"%h\", s);\n", " $write(\" \");\n", " $write(\"%h\", y);\n", " $write(\"\\n\");\n", "end\n", "\n", "\n", "\n", "assign y = (((!s) && x0) | (s && x1));\n", "\n", "\n", "initial begin: MUX2_1_COMBO_TBV_STIMULES\n", " integer i;\n", " for (i=0; i<18; i=i+1) begin\n", " x0 <= x0TV[i];\n", " x1 <= x1TV[i];\n", " s <= sTV[i];\n", " # 1;\n", " end\n", " $finish;\n", "end\n", "\n", "endmodule\n", "\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x0TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x1TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: sTV\n", " category=ToVerilogWarning\n" ] } ], "source": [ "@block\n", "def MUX2_1_Combo_TBV():\n", " \"\"\"\n", " myHDL -> Verilog testbench for module `MUX2_1_Combo`\n", " \"\"\"\n", " x0=Signal(bool(0))\n", " x1=Signal(bool(0))\n", " s=Signal(bool(0))\n", " y=Signal(bool(0))\n", " \n", " @always_comb\n", " def print_data():\n", " print(x0, x1, s, y)\n", " \n", " #Test Signal Bit Vectors\n", " x0TV=Signal(x0TVs)\n", " x1TV=Signal(x1TVs)\n", " sTV=Signal(sTVs)\n", "\n", "\n", " DUT=MUX2_1_Combo(x0, x1, s, y)\n", "\n", " @instance\n", " def stimules():\n", " for i in range(TestLen):\n", " x0.next=int(x0TV[i])\n", " x1.next=int(x1TV[i])\n", " s.next=int(sTV[i])\n", " yield delay(1)\n", " \n", " raise StopSimulation()\n", " return instances()\n", "\n", "TB=MUX2_1_Combo_TBV()\n", "TB.convert(hdl=\"Verilog\", initial_values=True)\n", "VerilogTextReader('MUX2_1_Combo_TBV'); " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## PYNQ-Z1 Deployment" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Board Circuit\n", "\\begin{figure}\n", "\\centerline{\\includegraphics[width=5cm]{MUX21PYNQZ1Circ.png}}\n", "\\caption{\\label{fig:M21Circ} 2:1 MUX PYNQ-Z1 (Non SoC) conceptualized circuit}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Board Constraint" ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "***Constraint file from MUX2_1.xdc***\n", "\n", " ## PYNQ-Z1 Constraint File for MUX2_1_Combo\n", "## Based on https://github.com/Xilinx/PYNQ/blob/master/boards/Pynq-Z1/base/vivado/constraints/base.xdc\n", "\n", "\n", "## Switches\n", "set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS33} [get_ports {s}]; ##SW0\n", "\n", "## Buttons\n", "set_property -dict {PACKAGE_PIN D19 IOSTANDARD LVCMOS33} [get_ports {x0}]; ## BT0\n", "set_property -dict {PACKAGE_PIN D20 IOSTANDARD LVCMOS33} [get_ports {x1}]; ##BT1\n", "\n", "\n", "## LEDs\n", "set_property -dict {PACKAGE_PIN R14 IOSTANDARD LVCMOS33} [get_ports {y}]; ## Led 0\n", "\n", "\n", "\n" ] } ], "source": [ "ConstraintXDCTextReader('MUX2_1');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Video of Deployment\n", "MUX2_1_Combo myHDL PYNQ-Z1 ([YouTube](https://www.youtube.com/watch?v=UkXbnFdF010))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 4 Channel Input : 1 Channel Output multiplexer in Gate Level Logic" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Sympy Expression" ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/latex": [ "$$y = \\left(s_{0} \\wedge s_{1} \\wedge x_{3}\\right) \\vee \\left(s_{0} \\wedge x_{1} \\wedge \\neg s_{1}\\right) \\vee \\left(s_{1} \\wedge x_{2} \\wedge \\neg s_{0}\\right) \\vee \\left(x_{0} \\wedge \\neg s_{0} \\wedge \\neg s_{1}\\right)$$" ], "text/plain": [ "y = (s₀ ∧ s₁ ∧ x₃) ∨ (s₀ ∧ x₁ ∧ ¬s₁) ∨ (s₁ ∧ x₂ ∧ ¬s₀) ∨ (x₀ ∧ ¬s₀ ∧ ¬s₁)" ] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "x0, x1, x2, x3, s0, s1, y=symbols('x0, x1, x2, x3, s0, s1, y')\n", "y41Eq=Eq(y, (~s0&~s1&x0) | (s0&~s1&x1)| (~s0&s1&x2)|(s0&s1&x3))\n", "y41Eq" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>x3</th>\n", " <th>x2</th>\n", " <th>x1</th>\n", " <th>x0</th>\n", " <th>s1</th>\n", " <th>s0</th>\n", " <th>y</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>34</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>35</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>36</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>37</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>38</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>39</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>40</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>41</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>42</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>43</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>44</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>45</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>46</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>47</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>48</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>49</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>50</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>51</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>52</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>53</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>54</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>55</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>56</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>57</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>58</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>59</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>60</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>61</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>62</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>63</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>" ], "text/plain": [ " x3 x2 x1 x0 s1 s0 y\n", "0 0 0 0 0 0 0 0\n", "1 1 0 0 0 0 0 0\n", "2 0 1 0 0 0 0 0\n", "3 1 1 0 0 0 0 0\n", "4 0 0 1 0 0 0 0\n", "5 1 0 1 0 0 0 0\n", "6 0 1 1 0 0 0 0\n", "7 1 1 1 0 0 0 0\n", "8 0 0 0 1 0 0 1\n", "9 1 0 0 1 0 0 1\n", "10 0 1 0 1 0 0 1\n", "11 1 1 0 1 0 0 1\n", "12 0 0 1 1 0 0 1\n", "13 1 0 1 1 0 0 1\n", "14 0 1 1 1 0 0 1\n", "15 1 1 1 1 0 0 1\n", "16 0 0 0 0 1 0 0\n", "17 1 0 0 0 1 0 0\n", "18 0 1 0 0 1 0 1\n", "19 1 1 0 0 1 0 1\n", "20 0 0 1 0 1 0 0\n", "21 1 0 1 0 1 0 0\n", "22 0 1 1 0 1 0 1\n", "23 1 1 1 0 1 0 1\n", "24 0 0 0 1 1 0 0\n", "25 1 0 0 1 1 0 0\n", "26 0 1 0 1 1 0 1\n", "27 1 1 0 1 1 0 1\n", "28 0 0 1 1 1 0 0\n", "29 1 0 1 1 1 0 0\n", ".. .. .. .. .. .. .. ..\n", "34 0 1 0 0 0 1 0\n", "35 1 1 0 0 0 1 0\n", "36 0 0 1 0 0 1 1\n", "37 1 0 1 0 0 1 1\n", "38 0 1 1 0 0 1 1\n", "39 1 1 1 0 0 1 1\n", "40 0 0 0 1 0 1 0\n", "41 1 0 0 1 0 1 0\n", "42 0 1 0 1 0 1 0\n", "43 1 1 0 1 0 1 0\n", "44 0 0 1 1 0 1 1\n", "45 1 0 1 1 0 1 1\n", "46 0 1 1 1 0 1 1\n", "47 1 1 1 1 0 1 1\n", "48 0 0 0 0 1 1 0\n", "49 1 0 0 0 1 1 1\n", "50 0 1 0 0 1 1 0\n", "51 1 1 0 0 1 1 1\n", "52 0 0 1 0 1 1 0\n", "53 1 0 1 0 1 1 1\n", "54 0 1 1 0 1 1 0\n", "55 1 1 1 0 1 1 1\n", "56 0 0 0 1 1 1 0\n", "57 1 0 0 1 1 1 1\n", "58 0 1 0 1 1 1 0\n", "59 1 1 0 1 1 1 1\n", "60 0 0 1 1 1 1 0\n", "61 1 0 1 1 1 1 1\n", "62 0 1 1 1 1 1 0\n", "63 1 1 1 1 1 1 1\n", "\n", "[64 rows x 7 columns]" ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/html": [ "<b>limit_output extension: Maximum message size of 10000 exceeded with 10064 characters</b>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "TruthTabelGenrator(y41Eq)[[x3, x2, x1, x0, s1, s0, y]]" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/plain": [ "array([0, 0, 0, 0, 0, 0, 0, 1, 0, 1, 0, 0, 0, 1, 0, 1, 0, 0, 1, 0, 0, 0, 1,\n", " 1, 0, 1, 1, 0, 0, 1, 1, 1, 1, 0, 0, 0, 1, 0, 0, 1, 1, 1, 0, 0, 1, 1,\n", " 0, 1, 1, 0, 1, 0, 1, 0, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1])" ] }, "execution_count": 20, "metadata": {}, "output_type": "execute_result" } ], "source": [ "y41EqN=lambdify([x0, x1, x2, x3, s0, s1], y41Eq.rhs, dummify=False)\n", "SystmaticVals=np.array(list(itertools.product([0,1], repeat=6)))\n", "SystmaticVals\n", "y41EqN(*[SystmaticVals[:, i] for i in range(6)] ).astype(int)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL Module" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [], "source": [ "@block\n", "def MUX4_1_Combo(x0, x1, x2, x3, s0, s1, y):\n", " \"\"\"\n", " 4:1 Multiplexer written in full combo\n", " Input:\n", " x0(bool): input channel 0\n", " x1(bool): input channel 1\n", " x2(bool): input channel 2\n", " x3(bool): input channel 3\n", " s1(bool): channel selection input bit 1\n", " s0(bool): channel selection input bit 0 \n", " Output:\n", " y(bool): ouput\n", " \"\"\"\n", " \n", " @always_comb\n", " def logic():\n", " y.next= (not s0 and not s1 and x0) or (s0 and not s1 and x1) or (not s0 and s1 and x2) or (s0 and s1 and x3)\n", " \n", " return instances()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL Testing" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/plain": [ "([(0, 0, 0, 0, 0, 0),\n", " (0, 0, 0, 0, 0, 1),\n", " (0, 0, 0, 0, 1, 0),\n", " (0, 0, 0, 0, 1, 1),\n", " (0, 0, 0, 1, 0, 0),\n", " (0, 0, 0, 1, 0, 1),\n", " (0, 0, 0, 1, 1, 0),\n", " (0, 0, 0, 1, 1, 1),\n", " (0, 0, 1, 0, 0, 0),\n", " (0, 0, 1, 0, 0, 1),\n", " (0, 0, 1, 0, 1, 0),\n", " (0, 0, 1, 0, 1, 1),\n", " (0, 0, 1, 1, 0, 0),\n", " (0, 0, 1, 1, 0, 1),\n", " (0, 0, 1, 1, 1, 0),\n", " (0, 0, 1, 1, 1, 1),\n", " (0, 1, 0, 0, 0, 0),\n", " (0, 1, 0, 0, 0, 1),\n", " (0, 1, 0, 0, 1, 0),\n", " (0, 1, 0, 0, 1, 1),\n", " (0, 1, 0, 1, 0, 0),\n", " (0, 1, 0, 1, 0, 1),\n", " (0, 1, 0, 1, 1, 0),\n", " (0, 1, 0, 1, 1, 1),\n", " (0, 1, 1, 0, 0, 0),\n", " (0, 1, 1, 0, 0, 1),\n", " (0, 1, 1, 0, 1, 0),\n", " (0, 1, 1, 0, 1, 1),\n", " (0, 1, 1, 1, 0, 0),\n", " (0, 1, 1, 1, 0, 1),\n", " (0, 1, 1, 1, 1, 0),\n", " (0, 1, 1, 1, 1, 1),\n", " (1, 0, 0, 0, 0, 0),\n", " (1, 0, 0, 0, 0, 1),\n", " (1, 0, 0, 0, 1, 0),\n", " (1, 0, 0, 0, 1, 1),\n", " (1, 0, 0, 1, 0, 0),\n", " (1, 0, 0, 1, 0, 1),\n", " (1, 0, 0, 1, 1, 0),\n", " (1, 0, 0, 1, 1, 1),\n", " (1, 0, 1, 0, 0, 0),\n", " (1, 0, 1, 0, 0, 1),\n", " (1, 0, 1, 0, 1, 0),\n", " (1, 0, 1, 0, 1, 1),\n", " (1, 0, 1, 1, 0, 0),\n", " (1, 0, 1, 1, 0, 1),\n", " (1, 0, 1, 1, 1, 0),\n", " (1, 0, 1, 1, 1, 1),\n", " (1, 1, 0, 0, 0, 0),\n", " (1, 1, 0, 0, 0, 1),\n", " (1, 1, 0, 0, 1, 0),\n", " (1, 1, 0, 0, 1, 1),\n", " (1, 1, 0, 1, 0, 0),\n", " (1, 1, 0, 1, 0, 1),\n", " (1, 1, 0, 1, 1, 0),\n", " (1, 1, 0, 1, 1, 1),\n", " (1, 1, 1, 0, 0, 0),\n", " (1, 1, 1, 0, 0, 1),\n", " (1, 1, 1, 0, 1, 0),\n", " (1, 1, 1, 0, 1, 1),\n", " (1, 1, 1, 1, 0, 0),\n", " (1, 1, 1, 1, 0, 1),\n", " (1, 1, 1, 1, 1, 0),\n", " (1, 1, 1, 1, 1, 1)],\n", " array([0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,\n", " 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 0, 1, 1]),\n", " array([0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1, 1,\n", " 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 1, 1]),\n", " array([0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0,\n", " 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1,\n", " 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 1, 0, 1, 1, 0]),\n", " array([0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1,\n", " 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0,\n", " 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 1, 0, 1, 0, 0]),\n", " array([0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1, 1,\n", " 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1,\n", " 1, 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 1, 0, 1, 0]),\n", " array([0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1,\n", " 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0]),\n", " 69)" ] }, "execution_count": 22, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#generate systmatic and random test values \n", "TestLen=5\n", "SystmaticVals=list(itertools.product([0,1], repeat=6))\n", "\n", "s0TVs=np.array([i[0] for i in SystmaticVals]).astype(int)\n", "np.random.seed(15)\n", "s0TVs=np.append(s0TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "s1TVs=np.array([i[1] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(16)\n", "s1TVs=np.append(s1TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "\n", "x0TVs=np.array([i[2] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(17)\n", "x0TVs=np.append(x0TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "x1TVs=np.array([i[3] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(18)\n", "x1TVs=np.append(x1TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "x2TVs=np.array([i[4] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(19)\n", "x2TVs=np.append(x2TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "x3TVs=np.array([i[5] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(20)\n", "x3TVs=np.append(x3TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "\n", "\n", "\n", "TestLen=len(x0TVs)\n", "SystmaticVals, s0TVs, s1TVs, x3TVs, x2TVs, x1TVs, x0TVs, TestLen" ] }, { "cell_type": "code", "execution_count": 23, "metadata": {}, "outputs": [], "source": [ "Peeker.clear()\n", "x0=Signal(bool(0)); Peeker(x0, 'x0')\n", "x1=Signal(bool(0)); Peeker(x1, 'x1')\n", "x2=Signal(bool(0)); Peeker(x2, 'x2')\n", "x3=Signal(bool(0)); Peeker(x3, 'x3')\n", "\n", "s0=Signal(bool(0)); Peeker(s0, 's0')\n", "s1=Signal(bool(0)); Peeker(s1, 's1')\n", "y=Signal(bool(0)); Peeker(y, 'y')\n", "\n", "DUT=MUX4_1_Combo(x0, x1, x2, x3, s0, s1, y)\n", "\n", "def MUX4_1_Combo_TB():\n", " \"\"\"\n", " myHDL only testbench for module `MUX4_1_Combo`\n", " \"\"\"\n", " \n", " @instance\n", " def stimules():\n", " for i in range(TestLen):\n", " x0.next=int(x0TVs[i])\n", " x1.next=int(x1TVs[i])\n", " x2.next=int(x2TVs[i])\n", " x3.next=int(x3TVs[i])\n", " s0.next=int(s0TVs[i])\n", " s1.next=int(s1TVs[i])\n", "\n", " \n", " yield delay(1)\n", " \n", " raise StopSimulation()\n", " \n", " return instances()\n", "\n", "sim=Simulation(DUT, MUX4_1_Combo_TB(), *Peeker.instances()).run() " ] }, { "cell_type": "code", "execution_count": 24, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div><script type=\"WaveDrom\">{\"signal\": [{\"name\": \"x0\", \"wave\": \"0.......1.......0.......1.......0.......1.......0.......1..........0.\"}, {\"name\": \"x1\", \"wave\": \"0...1...0...1...0...1...0...1...0...1...0...1...0...1...0...1...01010\"}, {\"name\": \"x2\", \"wave\": \"0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1..010.\"}, {\"name\": \"x3\", \"wave\": \"0101010101010101010101010101010101010101010101010101010101010101.01.0\"}, {\"name\": \"s0[0]\", \"wave\": \"0...............................1...............................0101.\"}, {\"name\": \"s1[0]\", \"wave\": \"0...............1...............0...............1................01..\"}, {\"name\": \"y[0]\", \"wave\": \"0.......1.......0.1.0.1.0.1.0.1.0...1...0...1...0101010101010101....0\"}]}</script></div>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/javascript": [ "$.getScript(\"http://wavedrom.com/wavedrom.min.js\", function () {\n", "$.getScript(\"http://wavedrom.com/skins/default.js\", function () {\n", "WaveDrom.ProcessAll();});\n", "});\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "Peeker.to_wavedrom()" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>x3</th>\n", " <th>x2</th>\n", " <th>x1</th>\n", " <th>x0</th>\n", " <th>s1</th>\n", " <th>s0</th>\n", " <th>y</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>39</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>40</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>41</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>42</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>43</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>44</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>45</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>46</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>47</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>48</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>49</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>50</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>51</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>52</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>53</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>54</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>55</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>56</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>57</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>58</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>59</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>60</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>61</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>62</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>63</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>64</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>65</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>66</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>67</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>68</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>" ], "text/plain": [ " x3 x2 x1 x0 s1 s0 y\n", "0 0 0 0 0 0 0 0\n", "1 1 0 0 0 0 0 0\n", "2 0 1 0 0 0 0 0\n", "3 1 1 0 0 0 0 0\n", "4 0 0 1 0 0 0 0\n", "5 1 0 1 0 0 0 0\n", "6 0 1 1 0 0 0 0\n", "7 1 1 1 0 0 0 0\n", "8 0 0 0 1 0 0 1\n", "9 1 0 0 1 0 0 1\n", "10 0 1 0 1 0 0 1\n", "11 1 1 0 1 0 0 1\n", "12 0 0 1 1 0 0 1\n", "13 1 0 1 1 0 0 1\n", "14 0 1 1 1 0 0 1\n", "15 1 1 1 1 0 0 1\n", "16 0 0 0 0 1 0 0\n", "17 1 0 0 0 1 0 0\n", "18 0 1 0 0 1 0 1\n", "19 1 1 0 0 1 0 1\n", "20 0 0 1 0 1 0 0\n", "21 1 0 1 0 1 0 0\n", "22 0 1 1 0 1 0 1\n", "23 1 1 1 0 1 0 1\n", "24 0 0 0 1 1 0 0\n", "25 1 0 0 1 1 0 0\n", "26 0 1 0 1 1 0 1\n", "27 1 1 0 1 1 0 1\n", "28 0 0 1 1 1 0 0\n", "29 1 0 1 1 1 0 0\n", ".. .. .. .. .. .. .. ..\n", "39 1 1 1 0 0 1 1\n", "40 0 0 0 1 0 1 0\n", "41 1 0 0 1 0 1 0\n", "42 0 1 0 1 0 1 0\n", "43 1 1 0 1 0 1 0\n", "44 0 0 1 1 0 1 1\n", "45 1 0 1 1 0 1 1\n", "46 0 1 1 1 0 1 1\n", "47 1 1 1 1 0 1 1\n", "48 0 0 0 0 1 1 0\n", "49 1 0 0 0 1 1 1\n", "50 0 1 0 0 1 1 0\n", "51 1 1 0 0 1 1 1\n", "52 0 0 1 0 1 1 0\n", "53 1 0 1 0 1 1 1\n", "54 0 1 1 0 1 1 0\n", "55 1 1 1 0 1 1 1\n", "56 0 0 0 1 1 1 0\n", "57 1 0 0 1 1 1 1\n", "58 0 1 0 1 1 1 0\n", "59 1 1 0 1 1 1 1\n", "60 0 0 1 1 1 1 0\n", "61 1 0 1 1 1 1 1\n", "62 0 1 1 1 1 1 0\n", "63 1 1 1 1 1 1 1\n", "64 1 1 0 1 1 0 1\n", "65 0 0 1 1 0 1 1\n", "66 1 1 0 1 1 0 1\n", "67 1 0 1 0 1 1 1\n", "68 0 0 0 0 1 1 0\n", "\n", "[69 rows x 7 columns]" ] }, "execution_count": 25, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/html": [ "<b>limit_output extension: Maximum message size of 10000 exceeded with 10064 characters</b>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "MUX4_1_ComboData=Peeker.to_dataframe()\n", "MUX4_1_ComboData=MUX4_1_ComboData[['x3', 'x2', 'x1', 'x0', 's1', 's0', 'y']]\n", "MUX4_1_ComboData" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>x3</th>\n", " <th>x2</th>\n", " <th>x1</th>\n", " <th>x0</th>\n", " <th>s1</th>\n", " <th>s0</th>\n", " <th>y</th>\n", " <th>yRef</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>39</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>40</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>41</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>42</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>43</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>44</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>45</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>46</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>47</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>48</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>49</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>50</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>51</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>52</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>53</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>54</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>55</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>56</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>57</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>58</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>59</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>60</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>61</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>62</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0<" ], "text/plain": [ " x3 x2 x1 x0 s1 s0 y yRef\n", "0 0 0 0 0 0 0 0 0\n", "1 1 0 0 0 0 0 0 0\n", "2 0 1 0 0 0 0 0 0\n", "3 1 1 0 0 0 0 0 0\n", "4 0 0 1 0 0 0 0 0\n", "5 1 0 1 0 0 0 0 0\n", "6 0 1 1 0 0 0 0 0\n", "7 1 1 1 0 0 0 0 0\n", "8 0 0 0 1 0 0 1 1\n", "9 1 0 0 1 0 0 1 1\n", "10 0 1 0 1 0 0 1 1\n", "11 1 1 0 1 0 0 1 1\n", "12 0 0 1 1 0 0 1 1\n", "13 1 0 1 1 0 0 1 1\n", "14 0 1 1 1 0 0 1 1\n", "15 1 1 1 1 0 0 1 1\n", "16 0 0 0 0 1 0 0 0\n", "17 1 0 0 0 1 0 0 0\n", "18 0 1 0 0 1 0 1 1\n", "19 1 1 0 0 1 0 1 1\n", "20 0 0 1 0 1 0 0 0\n", "21 1 0 1 0 1 0 0 0\n", "22 0 1 1 0 1 0 1 1\n", "23 1 1 1 0 1 0 1 1\n", "24 0 0 0 1 1 0 0 0\n", "25 1 0 0 1 1 0 0 0\n", "26 0 1 0 1 1 0 1 1\n", "27 1 1 0 1 1 0 1 1\n", "28 0 0 1 1 1 0 0 0\n", "29 1 0 1 1 1 0 0 0\n", ".. .. .. .. .. .. .. .. ...\n", "39 1 1 1 0 0 1 1 1\n", "40 0 0 0 1 0 1 0 0\n", "41 1 0 0 1 0 1 0 0\n", "42 0 1 0 1 0 1 0 0\n", "43 1 1 0 1 0 1 0 0\n", "44 0 0 1 1 0 1 1 1\n", "45 1 0 1 1 0 1 1 1\n", "46 0 1 1 1 0 1 1 1\n", "47 1 1 1 1 0 1 1 1\n", "48 0 0 0 0 1 1 0 0\n", "49 1 0 0 0 1 1 1 1\n", "50 0 1 0 0 1 1 0 0\n", "51 1 1 0 0 1 1 1 1\n", "52 0 0 1 0 1 1 0 0\n", "53 1 0 1 0 1 1 1 1\n", "54 0 1 1 0 1 1 0 0\n", "55 1 1 1 0 1 1 1 1\n", "56 0 0 0 1 1 1 0 0\n", "57 1 0 0 1 1 1 1 1\n", "58 0 1 0 1 1 1 0 0\n", "59 1 1 0 1 1 1 1 1\n", "60 0 0 1 1 1 1 0 0\n", "61 1 0 1 1 1 1 1 1\n", "62 0 1 1 1 1 1 0 0\n", "63 1 1 1 1 1 1 1 1\n", "64 1 1 0 1 1 0 1 1\n", "65 0 0 1 1 0 1 1 1\n", "66 1 1 0 1 1 0 1 1\n", "67 1 0 1 0 1 1 1 1\n", "68 0 0 0 0 1 1 0 0\n", "\n", "[69 rows x 8 columns]" ] }, "execution_count": 26, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/html": [ "<b>limit_output extension: Maximum message size of 10000 exceeded with 11123 characters</b>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "MUX4_1_ComboData['yRef']=MUX4_1_ComboData.apply(lambda row:y41EqN(row['x0'], row['x1'], row['x2'], row['x3'], row['s0'], row['s1']), axis=1).astype(int)\n", "MUX4_1_ComboData" ] }, { "cell_type": "code", "execution_count": 27, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Module `MUX4_1_Combo` works as exspected: True\n" ] } ], "source": [ "Test=(MUX4_1_ComboData['y']==MUX4_1_ComboData['yRef']).all()\n", "print(f'Module `MUX4_1_Combo` works as exspected: {Test}')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Verilog Conversion" ] }, { "cell_type": "code", "execution_count": 28, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "***Verilog modual from MUX4_1_Combo.v***\n", "\n", " // File: MUX4_1_Combo.v\n", "// Generated by MyHDL 0.10\n", "// Date: Sun Sep 23 18:20:10 2018\n", "\n", "\n", "`timescale 1ns/10ps\n", "\n", "module MUX4_1_Combo (\n", " x0,\n", " x1,\n", " x2,\n", " x3,\n", " s0,\n", " s1,\n", " y\n", ");\n", "// 4:1 Multiplexer written in full combo\n", "// Input:\n", "// x0(bool): input channel 0\n", "// x1(bool): input channel 1\n", "// x2(bool): input channel 2\n", "// x3(bool): input channel 3\n", "// s1(bool): channel selection input bit 1\n", "// s0(bool): channel selection input bit 0 \n", "// Output:\n", "// y(bool): ouput\n", "\n", "input x0;\n", "input x1;\n", "input x2;\n", "input x3;\n", "input s0;\n", "input s1;\n", "output y;\n", "wire y;\n", "\n", "\n", "\n", "\n", "\n", "assign y = (((!s0) && (!s1) && x0) || (s0 && (!s1) && x1) || ((!s0) && s1 && x2) || (s0 && s1 && x3));\n", "\n", "endmodule\n", "\n" ] } ], "source": [ "DUT.convert()\n", "VerilogTextReader('MUX4_1_Combo');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX4_1_Combo_RTL.png}}\n", "\\caption{\\label{fig:M41CRTL} MUX4_1_Combo RTL schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX4_1_Combo_SYN.png}}\n", "\\caption{\\label{fig:M41CSYN} MUX4_1_Combo Synthesized Schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX4_1_Combo_IMP.png}}\n", "\\caption{\\label{fig:M41CIMP} MUX4_1_Combo Implementated Schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL to Verilog Testbench" ] }, { "cell_type": "code", "execution_count": 29, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(intbv(2296870857426870268),\n", " '1111111100000000111111110000000011111111000000001111111111100',\n", " intbv(34723282962276803050),\n", " '11110000111100001111000011110000111100001111000011110000111101010',\n", " intbv(118059162071741130356),\n", " '1100110011001100110011001100110011001100110011001100110011001110100',\n", " intbv(196765270119568550582),\n", " '10101010101010101010101010101010101010101010101010101010101010110110',\n", " intbv(137438953451),\n", " '1111111111111111111111111111111101011',\n", " intbv(9007061817884663),\n", " '11111111111111110000000000000000111111111111111110111')" ] }, "execution_count": 29, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#create BitVectors for MUX4_1_Combo_TBV\n", "x0TVs=intbv(int(''.join(x0TVs.astype(str)), 2))[TestLen:]\n", "x1TVs=intbv(int(''.join(x1TVs.astype(str)), 2))[TestLen:]\n", "x2TVs=intbv(int(''.join(x2TVs.astype(str)), 2))[TestLen:]\n", "x3TVs=intbv(int(''.join(x3TVs.astype(str)), 2))[TestLen:]\n", "\n", "\n", "s0TVs=intbv(int(''.join(s0TVs.astype(str)), 2))[TestLen:]\n", "s1TVs=intbv(int(''.join(s1TVs.astype(str)), 2))[TestLen:]\n", "\n", "\n", "x0TVs, bin(x0TVs), x1TVs, bin(x1TVs), x2TVs, bin(x2TVs), x3TVs, bin(x3TVs), s0TVs, bin(s0TVs), s1TVs, bin(s1TVs)" ] }, { "cell_type": "code", "execution_count": 30, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "***Verilog modual from MUX4_1_Combo_TBV.v***\n", "\n", " // File: MUX4_1_Combo_TBV.v\n", "// Generated by MyHDL 0.10\n", "// Date: Sun Sep 23 18:20:16 2018\n", "\n", "\n", "`timescale 1ns/10ps\n", "\n", "module MUX4_1_Combo_TBV (\n", "\n", ");\n", "// myHDL -> Verilog testbench for module `MUX4_1_Combo`\n", "\n", "\n", "reg x0 = 0;\n", "reg x1 = 0;\n", "wire y;\n", "reg x2 = 0;\n", "reg x3 = 0;\n", "reg s0 = 0;\n", "reg s1 = 0;\n", "wire [68:0] x0TV;\n", "wire [68:0] x1TV;\n", "wire [68:0] x2TV;\n", "wire [68:0] x3TV;\n", "wire [68:0] s0TV;\n", "wire [68:0] s1TV;\n", "\n", "assign x0TV = 69'd2296870857426870268;\n", "assign x1TV = 69'd34723282962276803050;\n", "assign x2TV = 69'd118059162071741130356;\n", "assign x3TV = 69'd196765270119568550582;\n", "assign s0TV = 69'd137438953451;\n", "assign s1TV = 69'd9007061817884663;\n", "\n", "\n", "always @(x0, x3, s0, x2, y, x1, s1) begin: MUX4_1_COMBO_TBV_PRINT_DATA\n", " $write(\"%h\", x0);\n", " $write(\" \");\n", " $write(\"%h\", x1);\n", " $write(\" \");\n", " $write(\"%h\", x2);\n", " $write(\" \");\n", " $write(\"%h\", x3);\n", " $write(\" \");\n", " $write(\"%h\", s0);\n", " $write(\" \");\n", " $write(\"%h\", s1);\n", " $write(\" \");\n", " $write(\"%h\", y);\n", " $write(\"\\n\");\n", "end\n", "\n", "\n", "\n", "assign y = (((!s0) && (!s1) && x0) || (s0 && (!s1) && x1) || ((!s0) && s1 && x2) || (s0 && s1 && x3));\n", "\n", "\n", "initial begin: MUX4_1_COMBO_TBV_STIMULES\n", " integer i;\n", " for (i=0; i<69; i=i+1) begin\n", " x0 <= x0TV[i];\n", " x1 <= x1TV[i];\n", " x2 <= x2TV[i];\n", " x3 <= x3TV[i];\n", " s0 <= s0TV[i];\n", " s1 <= s1TV[i];\n", " # 1;\n", " end\n", " $finish;\n", "end\n", "\n", "endmodule\n", "\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x0TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x1TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x2TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x3TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: s0TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: s1TV\n", " category=ToVerilogWarning\n" ] } ], "source": [ "@block\n", "def MUX4_1_Combo_TBV():\n", " \"\"\"\n", " myHDL -> Verilog testbench for module `MUX4_1_Combo`\n", " \"\"\"\n", " \n", " x0=Signal(bool(0))\n", " x1=Signal(bool(0))\n", " x2=Signal(bool(0))\n", " x3=Signal(bool(0))\n", " y=Signal(bool(0))\n", " s0=Signal(bool(0))\n", " s1=Signal(bool(0))\n", "\n", " \n", " @always_comb\n", " def print_data():\n", " print(x0, x1, x2, x3, s0, s1, y)\n", " \n", " #Test Signal Bit Vectors\n", " x0TV=Signal(x0TVs)\n", " x1TV=Signal(x1TVs)\n", " x2TV=Signal(x2TVs)\n", " x3TV=Signal(x3TVs)\n", " s0TV=Signal(s0TVs)\n", " s1TV=Signal(s1TVs)\n", "\n", "\n", " DUT=MUX4_1_Combo(x0, x1, x2, x3, s0, s1, y)\n", "\n", " @instance\n", " def stimules():\n", " for i in range(TestLen):\n", " x0.next=int(x0TV[i])\n", " x1.next=int(x1TV[i])\n", " x2.next=int(x2TV[i])\n", " x3.next=int(x3TV[i])\n", " s0.next=int(s0TV[i])\n", " s1.next=int(s1TV[i])\n", " yield delay(1)\n", " \n", " raise StopSimulation()\n", " return instances()\n", "\n", "TB=MUX4_1_Combo_TBV()\n", "TB.convert(hdl=\"Verilog\", initial_values=True)\n", "VerilogTextReader('MUX4_1_Combo_TBV'); " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## PYNQ-Z1 Deployment" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Board Circuit\n", "\\begin{figure}\n", "\\centerline{\\includegraphics[width=5cm]{MUX41PYNQZ1Circ.png}}\n", "\\caption{\\label{fig:M41Circ} 4:1 MUX PYNQ-Z1 (Non SoC) conceptualized circuit}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Board Constraint " ] }, { "cell_type": "code", "execution_count": 31, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "***Constraint file from MUX4_1.xdc***\n", "\n", " ## PYNQ-Z1 Constraint File for MUX4_1_*\n", "## Based on https://github.com/Xilinx/PYNQ/blob/master/boards/Pynq-Z1/base/vivado/constraints/base.xdc\n", "\n", "\n", "## Switches\n", "set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS33} [get_ports {s0}]; ## SW0\n", "set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS33} [get_ports {s1}]; ## SW1\n", "\n", "## Buttons\n", "set_property -dict {PACKAGE_PIN D19 IOSTANDARD LVCMOS33} [get_ports {x0}]; ## BT0\n", "set_property -dict {PACKAGE_PIN D20 IOSTANDARD LVCMOS33} [get_ports {x1}]; ## BT1\n", "set_property -dict {PACKAGE_PIN L20 IOSTANDARD LVCMOS33} [get_ports {x2}]; ## BT2\n", "set_property -dict {PACKAGE_PIN L19 IOSTANDARD LVCMOS33} [get_ports {x3}]; ## BT3\n", "\n", "\n", "## LEDs\n", "set_property -dict {PACKAGE_PIN R14 IOSTANDARD LVCMOS33} [get_ports {y}]; ## Led 0\n", "\n", "\n", "\n" ] } ], "source": [ "ConstraintXDCTextReader('MUX4_1');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Video of Deployment\n", "MUX4_1_MS myHDL PYNQ-Z1 ([YouTube](https://www.youtube.com/watch?v=ZdwGjRM9WFk))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Shannon's Expansion Formula & Stacking of MUXs \n", "\n", "[Claude Shannon](https://en.wikipedia.org/wiki/Claude_Shannon), of the famed Shannon-Nyquist theorem, discovered that any boolean expression $F(x_0, x_1, \\ldots, x_n)$ can be decomposed in a manner akin to polynomials of perfect squares via\n", "\n", "$$\n", "F(x_0, x_1, \\ldots, x_n)=x_0 \\cdot F(x_0=1, x_1, \\ldots, x_n) +\\overline{x_0} \\cdot F(x_0=0, x_1, \\ldots, x_n)\n", "$$\n", "known as the Sum of Products (SOP) form since when the expansion is completed for all $x_n$ the result is that \n", "$$\n", "F(x_0, x_1, \\ldots, x_n)=\\sum^{2^n-1}_{i=0} (m_i \\cdot F(m_i))\n", "$$ \n", "aka the Sum of all Minterms ($m_i$) belonging to the original boolean expression $F$ factored down to the $i$th of $n$ variables belonging to $F$ and product (&) of $F$ evaluated with the respective minterm as the argument\n", "\n", "The Dual to the SOP form of Shannon's expansion formula is the Product of Sum (POS) form \n", "$$\n", "F(x_0, x_1, \\ldots, x_n)=(x_0+ F(x_0=1, x_1, \\ldots, x_n)) \\cdot (\\overline{x_0} + F(x_0=0, x_1, \\ldots, x_n))\n", "$$\n", "thus \n", "\n", "$$F(x_0, x_1, \\ldots, x_n)=\\prod^{2^n-1}_{i=0} (M_i + F(M_i))\n", "$$\n", "with $M_i$ being the $i$th Maxterm\n", "\n", "it is for this reason that Shannon's Expansion Formula is known is further liked to the fundamental theorem of algebra that it is called the \"fundamental theorem of Boolean algebra\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "So why then is Shannon's decomposition formula discussed in terms of Multiplexers. Because the general expression for a $2^n:1$ multiplexer is \n", "$$y_{\\text{MUX}}=\\sum^{2^n-1}_{i=0}m_i\\cdot x_n$$ where then $n$ is the required number of control inputs (referred to in this tutorial as $s_i$). Which is the same as the SOP form of Shannon's Formula for a boolean expression that has been fully decomposed (Factored). And further, if the boolean expression has not been fully factored we can replace $n-1$ parts of the partially factored expression with multiplexers. This then gives way to what is called \"Multiplexer Stacking\" in order to implement large boolean expressions and or large multiplexers" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 4 Channel Input: 1 Channel Output multiplexer via MUX Stacking\n", "\n", "\\begin{figure}\n", "\\centerline{\\includegraphics{MUX41MS.png}}\n", "\\caption{\\label{fig:M41MS} 4:1 MUX via MUX stacking 2:1MUXs}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL Module" ] }, { "cell_type": "code", "execution_count": 32, "metadata": {}, "outputs": [], "source": [ "@block\n", "def MUX4_1_MS(x0, x1, x2, x3, s0, s1, y):\n", " \"\"\"\n", " 4:1 Multiplexer via 2:1 MUX stacking\n", " Input:\n", " x0(bool): input channel 0\n", " x1(bool): input channel 1\n", " x2(bool): input channel 2\n", " x3(bool): input channel 3\n", " s1(bool): channel selection input bit 1\n", " s0(bool): channel selection input bit 0 \n", " Output:\n", " y(bool): ouput\n", " \"\"\"\n", " #create ouput from x0x1 input MUX to y ouput MUX\n", " x0x1_yWire=Signal(bool(0))\n", " #create instance of 2:1 mux and wire in inputs\n", " #a, b, s0 and wire to ouput mux\n", " x0x1MUX=MUX2_1_Combo(x0, x1, s0, x0x1_yWire)\n", " \n", " #create ouput from x2x3 input MUX to y ouput MUX\n", " x2x3_yWire=Signal(bool(0))\n", " #create instance of 2:1 mux and wire in inputs\n", " #c, d, s0 and wire to ouput mux\n", " x2x3MUX=MUX2_1_Combo(x2, x3, s0, x2x3_yWire)\n", " \n", " #create ouput MUX and wire to internal wires, \n", " #s1 and ouput y\n", " yMUX=MUX2_1_Combo(x0x1_yWire, x2x3_yWire, s1, y)\n", " \n", " return instances()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL Testing" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/plain": [ "([(0, 0, 0, 0, 0, 0),\n", " (0, 0, 0, 0, 0, 1),\n", " (0, 0, 0, 0, 1, 0),\n", " (0, 0, 0, 0, 1, 1),\n", " (0, 0, 0, 1, 0, 0),\n", " (0, 0, 0, 1, 0, 1),\n", " (0, 0, 0, 1, 1, 0),\n", " (0, 0, 0, 1, 1, 1),\n", " (0, 0, 1, 0, 0, 0),\n", " (0, 0, 1, 0, 0, 1),\n", " (0, 0, 1, 0, 1, 0),\n", " (0, 0, 1, 0, 1, 1),\n", " (0, 0, 1, 1, 0, 0),\n", " (0, 0, 1, 1, 0, 1),\n", " (0, 0, 1, 1, 1, 0),\n", " (0, 0, 1, 1, 1, 1),\n", " (0, 1, 0, 0, 0, 0),\n", " (0, 1, 0, 0, 0, 1),\n", " (0, 1, 0, 0, 1, 0),\n", " (0, 1, 0, 0, 1, 1),\n", " (0, 1, 0, 1, 0, 0),\n", " (0, 1, 0, 1, 0, 1),\n", " (0, 1, 0, 1, 1, 0),\n", " (0, 1, 0, 1, 1, 1),\n", " (0, 1, 1, 0, 0, 0),\n", " (0, 1, 1, 0, 0, 1),\n", " (0, 1, 1, 0, 1, 0),\n", " (0, 1, 1, 0, 1, 1),\n", " (0, 1, 1, 1, 0, 0),\n", " (0, 1, 1, 1, 0, 1),\n", " (0, 1, 1, 1, 1, 0),\n", " (0, 1, 1, 1, 1, 1),\n", " (1, 0, 0, 0, 0, 0),\n", " (1, 0, 0, 0, 0, 1),\n", " (1, 0, 0, 0, 1, 0),\n", " (1, 0, 0, 0, 1, 1),\n", " (1, 0, 0, 1, 0, 0),\n", " (1, 0, 0, 1, 0, 1),\n", " (1, 0, 0, 1, 1, 0),\n", " (1, 0, 0, 1, 1, 1),\n", " (1, 0, 1, 0, 0, 0),\n", " (1, 0, 1, 0, 0, 1),\n", " (1, 0, 1, 0, 1, 0),\n", " (1, 0, 1, 0, 1, 1),\n", " (1, 0, 1, 1, 0, 0),\n", " (1, 0, 1, 1, 0, 1),\n", " (1, 0, 1, 1, 1, 0),\n", " (1, 0, 1, 1, 1, 1),\n", " (1, 1, 0, 0, 0, 0),\n", " (1, 1, 0, 0, 0, 1),\n", " (1, 1, 0, 0, 1, 0),\n", " (1, 1, 0, 0, 1, 1),\n", " (1, 1, 0, 1, 0, 0),\n", " (1, 1, 0, 1, 0, 1),\n", " (1, 1, 0, 1, 1, 0),\n", " (1, 1, 0, 1, 1, 1),\n", " (1, 1, 1, 0, 0, 0),\n", " (1, 1, 1, 0, 0, 1),\n", " (1, 1, 1, 0, 1, 0),\n", " (1, 1, 1, 0, 1, 1),\n", " (1, 1, 1, 1, 0, 0),\n", " (1, 1, 1, 1, 0, 1),\n", " (1, 1, 1, 1, 1, 0),\n", " (1, 1, 1, 1, 1, 1)],\n", " array([0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,\n", " 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 0, 1, 1]),\n", " array([0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1, 1,\n", " 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 1, 1]),\n", " array([0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0,\n", " 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1,\n", " 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 1, 0, 1, 1, 0]),\n", " array([0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1,\n", " 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0,\n", " 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 1, 0, 1, 0, 0]),\n", " array([0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1, 1,\n", " 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1,\n", " 1, 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 1, 0, 1, 0]),\n", " array([0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1,\n", " 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0]),\n", " 69)" ] }, "execution_count": 33, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#generate systmatic and random test values \n", "TestLen=5\n", "SystmaticVals=list(itertools.product([0,1], repeat=6))\n", "\n", "s0TVs=np.array([i[0] for i in SystmaticVals]).astype(int)\n", "np.random.seed(15)\n", "s0TVs=np.append(s0TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "s1TVs=np.array([i[1] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(16)\n", "s1TVs=np.append(s1TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "\n", "x0TVs=np.array([i[2] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(17)\n", "x0TVs=np.append(x0TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "x1TVs=np.array([i[3] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(18)\n", "x1TVs=np.append(x1TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "x2TVs=np.array([i[4] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(19)\n", "x2TVs=np.append(x2TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "x3TVs=np.array([i[5] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(20)\n", "x3TVs=np.append(x3TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "\n", "\n", "\n", "TestLen=len(x0TVs)\n", "SystmaticVals, s0TVs, s1TVs, x3TVs, x2TVs, x1TVs, x0TVs, TestLen" ] }, { "cell_type": "code", "execution_count": 34, "metadata": {}, "outputs": [], "source": [ "Peeker.clear()\n", "x0=Signal(bool(0)); Peeker(x0, 'x0')\n", "x1=Signal(bool(0)); Peeker(x1, 'x1')\n", "x2=Signal(bool(0)); Peeker(x2, 'x2')\n", "x3=Signal(bool(0)); Peeker(x3, 'x3')\n", "\n", "s0=Signal(bool(0)); Peeker(s0, 's0')\n", "s1=Signal(bool(0)); Peeker(s1, 's1')\n", "y=Signal(bool(0)); Peeker(y, 'y')\n", "\n", "DUT=MUX4_1_MS(x0, x1, x2, x3, s0, s1, y)\n", "\n", "def MUX4_1_MS_TB():\n", " \"\"\"\n", " myHDL only testbench for module `MUX4_1_MS`\n", " \"\"\"\n", " \n", " @instance\n", " def stimules():\n", " for i in range(TestLen):\n", " x0.next=int(x0TVs[i])\n", " x1.next=int(x1TVs[i])\n", " x2.next=int(x2TVs[i])\n", " x3.next=int(x3TVs[i])\n", " s0.next=int(s0TVs[i])\n", " s1.next=int(s1TVs[i])\n", "\n", " \n", " yield delay(1)\n", " \n", " raise StopSimulation()\n", " \n", " return instances()\n", "\n", "sim=Simulation(DUT, MUX4_1_MS_TB(), *Peeker.instances()).run() " ] }, { "cell_type": "code", "execution_count": 35, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div><script type=\"WaveDrom\">{\"signal\": [{\"name\": \"x0\", \"wave\": \"0.......1.......0.......1.......0.......1.......0.......1..........0.\"}, {\"name\": \"x1\", \"wave\": \"0...1...0...1...0...1...0...1...0...1...0...1...0...1...0...1...01010\"}, {\"name\": \"x2\", \"wave\": \"0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1..010.\"}, {\"name\": \"x3\", \"wave\": \"0101010101010101010101010101010101010101010101010101010101010101.01.0\"}, {\"name\": \"s0[0]\", \"wave\": \"0...............................1...............................0101.\"}, {\"name\": \"s1[0]\", \"wave\": \"0...............1...............0...............1................01..\"}, {\"name\": \"y[0]\", \"wave\": \"0.......1.......0.1.0.1.0.1.0.1.0...1...0...1...0101010101010101....0\"}]}</script></div>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/javascript": [ "$.getScript(\"http://wavedrom.com/wavedrom.min.js\", function () {\n", "$.getScript(\"http://wavedrom.com/skins/default.js\", function () {\n", "WaveDrom.ProcessAll();});\n", "});\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "Peeker.to_wavedrom()" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>x3</th>\n", " <th>x2</th>\n", " <th>x1</th>\n", " <th>x0</th>\n", " <th>s1</th>\n", " <th>s0</th>\n", " <th>y</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>39</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>40</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>41</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>42</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>43</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>44</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>45</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>46</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>47</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>48</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>49</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>50</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>51</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>52</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>53</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>54</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>55</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>56</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>57</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>58</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>59</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>60</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>61</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>62</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>63</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>64</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>65</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>66</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>67</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>68</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>" ], "text/plain": [ " x3 x2 x1 x0 s1 s0 y\n", "0 0 0 0 0 0 0 0\n", "1 1 0 0 0 0 0 0\n", "2 0 1 0 0 0 0 0\n", "3 1 1 0 0 0 0 0\n", "4 0 0 1 0 0 0 0\n", "5 1 0 1 0 0 0 0\n", "6 0 1 1 0 0 0 0\n", "7 1 1 1 0 0 0 0\n", "8 0 0 0 1 0 0 1\n", "9 1 0 0 1 0 0 1\n", "10 0 1 0 1 0 0 1\n", "11 1 1 0 1 0 0 1\n", "12 0 0 1 1 0 0 1\n", "13 1 0 1 1 0 0 1\n", "14 0 1 1 1 0 0 1\n", "15 1 1 1 1 0 0 1\n", "16 0 0 0 0 1 0 0\n", "17 1 0 0 0 1 0 0\n", "18 0 1 0 0 1 0 1\n", "19 1 1 0 0 1 0 1\n", "20 0 0 1 0 1 0 0\n", "21 1 0 1 0 1 0 0\n", "22 0 1 1 0 1 0 1\n", "23 1 1 1 0 1 0 1\n", "24 0 0 0 1 1 0 0\n", "25 1 0 0 1 1 0 0\n", "26 0 1 0 1 1 0 1\n", "27 1 1 0 1 1 0 1\n", "28 0 0 1 1 1 0 0\n", "29 1 0 1 1 1 0 0\n", ".. .. .. .. .. .. .. ..\n", "39 1 1 1 0 0 1 1\n", "40 0 0 0 1 0 1 0\n", "41 1 0 0 1 0 1 0\n", "42 0 1 0 1 0 1 0\n", "43 1 1 0 1 0 1 0\n", "44 0 0 1 1 0 1 1\n", "45 1 0 1 1 0 1 1\n", "46 0 1 1 1 0 1 1\n", "47 1 1 1 1 0 1 1\n", "48 0 0 0 0 1 1 0\n", "49 1 0 0 0 1 1 1\n", "50 0 1 0 0 1 1 0\n", "51 1 1 0 0 1 1 1\n", "52 0 0 1 0 1 1 0\n", "53 1 0 1 0 1 1 1\n", "54 0 1 1 0 1 1 0\n", "55 1 1 1 0 1 1 1\n", "56 0 0 0 1 1 1 0\n", "57 1 0 0 1 1 1 1\n", "58 0 1 0 1 1 1 0\n", "59 1 1 0 1 1 1 1\n", "60 0 0 1 1 1 1 0\n", "61 1 0 1 1 1 1 1\n", "62 0 1 1 1 1 1 0\n", "63 1 1 1 1 1 1 1\n", "64 1 1 0 1 1 0 1\n", "65 0 0 1 1 0 1 1\n", "66 1 1 0 1 1 0 1\n", "67 1 0 1 0 1 1 1\n", "68 0 0 0 0 1 1 0\n", "\n", "[69 rows x 7 columns]" ] }, "execution_count": 36, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/html": [ "<b>limit_output extension: Maximum message size of 10000 exceeded with 10064 characters</b>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "MUX4_1_MSData=Peeker.to_dataframe()\n", "MUX4_1_MSData=MUX4_1_MSData[['x3', 'x2', 'x1', 'x0', 's1', 's0', 'y']]\n", "MUX4_1_MSData" ] }, { "cell_type": "code", "execution_count": 37, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Module `MUX4_1_MS` works as exspected: True\n" ] } ], "source": [ "Test=MUX4_1_ComboData[['x3', 'x2', 'x1', 'x0', 's1', 's0', 'y']]==MUX4_1_MSData\n", "Test=Test.all().all()\n", "print(f'Module `MUX4_1_MS` works as exspected: {Test}')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Verilog Conversion" ] }, { "cell_type": "code", "execution_count": 38, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "***Verilog modual from MUX4_1_MS.v***\n", "\n", " // File: MUX4_1_MS.v\n", "// Generated by MyHDL 0.10\n", "// Date: Sun Sep 23 18:20:31 2018\n", "\n", "\n", "`timescale 1ns/10ps\n", "\n", "module MUX4_1_MS (\n", " x0,\n", " x1,\n", " x2,\n", " x3,\n", " s0,\n", " s1,\n", " y\n", ");\n", "// 4:1 Multiplexer via 2:1 MUX stacking\n", "// Input:\n", "// x0(bool): input channel 0\n", "// x1(bool): input channel 1\n", "// x2(bool): input channel 2\n", "// x3(bool): input channel 3\n", "// s1(bool): channel selection input bit 1\n", "// s0(bool): channel selection input bit 0 \n", "// Output:\n", "// y(bool): ouput\n", "\n", "input x0;\n", "input x1;\n", "input x2;\n", "input x3;\n", "input s0;\n", "input s1;\n", "output y;\n", "wire y;\n", "\n", "wire x0x1_yWire;\n", "wire MUX2_1_Combo1_0_y;\n", "\n", "\n", "\n", "\n", "assign x0x1_yWire = (((!s0) && x0) | (s0 && x1));\n", "\n", "\n", "\n", "assign MUX2_1_Combo1_0_y = (((!s0) && x2) | (s0 && x3));\n", "\n", "\n", "\n", "assign y = (((!s1) && x0x1_yWire) | (s1 && MUX2_1_Combo1_0_y));\n", "\n", "endmodule\n", "\n" ] } ], "source": [ "DUT.convert()\n", "VerilogTextReader('MUX4_1_MS');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX4_1_MS_RTL.png}}\n", "\\caption{\\label{fig:M41MSRTL} MUX4_1_MS RTL schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX4_1_MS_SYN.png}}\n", "\\caption{\\label{fig:M41MSSYN} MUX4_1_MS Synthesized Schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX4_1_MS_IMP.png}}\n", "\\caption{\\label{fig:M41MSIMP} MUX4_1_MS Implementated Schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL to Verilog Testbench" ] }, { "cell_type": "code", "execution_count": 39, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(intbv(2296870857426870268),\n", " '1111111100000000111111110000000011111111000000001111111111100',\n", " intbv(34723282962276803050),\n", " '11110000111100001111000011110000111100001111000011110000111101010',\n", " intbv(118059162071741130356),\n", " '1100110011001100110011001100110011001100110011001100110011001110100',\n", " intbv(196765270119568550582),\n", " '10101010101010101010101010101010101010101010101010101010101010110110',\n", " intbv(137438953451),\n", " '1111111111111111111111111111111101011',\n", " intbv(9007061817884663),\n", " '11111111111111110000000000000000111111111111111110111')" ] }, "execution_count": 39, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#create BitVectors \n", "x0TVs=intbv(int(''.join(x0TVs.astype(str)), 2))[TestLen:]\n", "x1TVs=intbv(int(''.join(x1TVs.astype(str)), 2))[TestLen:]\n", "x2TVs=intbv(int(''.join(x2TVs.astype(str)), 2))[TestLen:]\n", "x3TVs=intbv(int(''.join(x3TVs.astype(str)), 2))[TestLen:]\n", "\n", "\n", "s0TVs=intbv(int(''.join(s0TVs.astype(str)), 2))[TestLen:]\n", "s1TVs=intbv(int(''.join(s1TVs.astype(str)), 2))[TestLen:]\n", "\n", "\n", "x0TVs, bin(x0TVs), x1TVs, bin(x1TVs), x2TVs, bin(x2TVs), x3TVs, bin(x3TVs), s0TVs, bin(s0TVs), s1TVs, bin(s1TVs)" ] }, { "cell_type": "code", "execution_count": 40, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "***Verilog modual from MUX4_1_MS_TBV.v***\n", "\n", " // File: MUX4_1_MS_TBV.v\n", "// Generated by MyHDL 0.10\n", "// Date: Sun Sep 23 18:20:41 2018\n", "\n", "\n", "`timescale 1ns/10ps\n", "\n", "module MUX4_1_MS_TBV (\n", "\n", ");\n", "// myHDL -> Verilog testbench for module `MUX4_1_MS`\n", "\n", "\n", "reg x0 = 0;\n", "reg x1 = 0;\n", "wire y;\n", "reg x2 = 0;\n", "reg x3 = 0;\n", "reg s0 = 0;\n", "reg s1 = 0;\n", "wire [68:0] x0TV;\n", "wire [68:0] x1TV;\n", "wire [68:0] x2TV;\n", "wire [68:0] x3TV;\n", "wire [68:0] s0TV;\n", "wire [68:0] s1TV;\n", "wire MUX4_1_MS0_0_x0x1_yWire;\n", "wire MUX4_1_MS0_0_MUX2_1_Combo1_0_1_y;\n", "\n", "assign x0TV = 69'd2296870857426870268;\n", "assign x1TV = 69'd34723282962276803050;\n", "assign x2TV = 69'd118059162071741130356;\n", "assign x3TV = 69'd196765270119568550582;\n", "assign s0TV = 69'd137438953451;\n", "assign s1TV = 69'd9007061817884663;\n", "\n", "\n", "always @(x0, x3, s0, x2, y, x1, s1) begin: MUX4_1_MS_TBV_PRINT_DATA\n", " $write(\"%h\", x0);\n", " $write(\" \");\n", " $write(\"%h\", x1);\n", " $write(\" \");\n", " $write(\"%h\", x2);\n", " $write(\" \");\n", " $write(\"%h\", x3);\n", " $write(\" \");\n", " $write(\"%h\", s0);\n", " $write(\" \");\n", " $write(\"%h\", s1);\n", " $write(\" \");\n", " $write(\"%h\", y);\n", " $write(\"\\n\");\n", "end\n", "\n", "\n", "\n", "assign MUX4_1_MS0_0_x0x1_yWire = (((!s0) && x0) | (s0 && x1));\n", "\n", "\n", "\n", "assign MUX4_1_MS0_0_MUX2_1_Combo1_0_1_y = (((!s0) && x2) | (s0 && x3));\n", "\n", "\n", "\n", "assign y = (((!s1) && MUX4_1_MS0_0_x0x1_yWire) | (s1 && MUX4_1_MS0_0_MUX2_1_Combo1_0_1_y));\n", "\n", "\n", "initial begin: MUX4_1_MS_TBV_STIMULES\n", " integer i;\n", " for (i=0; i<69; i=i+1) begin\n", " x0 <= x0TV[i];\n", " x1 <= x1TV[i];\n", " x2 <= x2TV[i];\n", " x3 <= x3TV[i];\n", " s0 <= s0TV[i];\n", " s1 <= s1TV[i];\n", " # 1;\n", " end\n", " $finish;\n", "end\n", "\n", "endmodule\n", "\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x0TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x1TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x2TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x3TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: s0TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: s1TV\n", " category=ToVerilogWarning\n" ] } ], "source": [ "@block\n", "def MUX4_1_MS_TBV():\n", " \"\"\"\n", " myHDL -> Verilog testbench for module `MUX4_1_MS`\n", " \"\"\"\n", " \n", " x0=Signal(bool(0))\n", " x1=Signal(bool(0))\n", " x2=Signal(bool(0))\n", " x3=Signal(bool(0))\n", " y=Signal(bool(0))\n", " s0=Signal(bool(0))\n", " s1=Signal(bool(0))\n", "\n", " \n", " @always_comb\n", " def print_data():\n", " print(x0, x1, x2, x3, s0, s1, y)\n", " \n", " #Test Signal Bit Vectors\n", " x0TV=Signal(x0TVs)\n", " x1TV=Signal(x1TVs)\n", " x2TV=Signal(x2TVs)\n", " x3TV=Signal(x3TVs)\n", " s0TV=Signal(s0TVs)\n", " s1TV=Signal(s1TVs)\n", "\n", "\n", " DUT=MUX4_1_MS(x0, x1, x2, x3, s0, s1, y)\n", "\n", " @instance\n", " def stimules():\n", " for i in range(TestLen):\n", " x0.next=int(x0TV[i])\n", " x1.next=int(x1TV[i])\n", " x2.next=int(x2TV[i])\n", " x3.next=int(x3TV[i])\n", " s0.next=int(s0TV[i])\n", " s1.next=int(s1TV[i])\n", " yield delay(1)\n", " \n", " raise StopSimulation()\n", " return instances()\n", "\n", "TB=MUX4_1_MS_TBV()\n", "TB.convert(hdl=\"Verilog\", initial_values=True)\n", "VerilogTextReader('MUX4_1_MS_TBV'); " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## PYNQ-Z1 Deployment" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Board Circuit \n", "See Board Circuit for \"4 Channel Input : 1 Channel Output multiplexer in Gate Level Logic\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Board Constraint \n", "uses same 'MUX4_1.xdc' as \"4 Channel Input : 1 Channel Output multiplexer in Gate Level Logic\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Video of Deployment\n", "MUX4_1_MS myHDL PYNQ-Z1 ([YouTube](https://www.youtube.com/watch?v=uO7VZ8ow_Yg))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Introduction to HDL Behavioral Modeling\n", "\n", "HDL behavioral modeling is a \"High\" level, though not at the HLS level, HDL syntax where the intended hardware element is modeled via its intended abstract algorithm behavior. Thus the common computer science (and mathematician)tool of abstraction is borrowed and incorporated into the HDL syntax. The abstraction that follows has, like all things, its pros and cons. \n", "\n", "As a pro, this means that the Hard Ware Designer is no longer consumed by the manuchia of implementing boolean algebra for every device and can instead focus on implementing the intended algorithm in hardware. And it is thanks to this blending of Software and Hardware that the design of digital devices has grown as prolific as it has. However, there is quite a cache for using behavioral modeling. First off HDL now absolutely requires synthesis tools that can map the behavioral statements to hardware. And even when the behavioral logic is mapped at least to the RTL level there is no escaping two points. 1. At the end of the day, the RTL will be implemented via Gate level devices in some form or another. 2. the way the synthesis tool has mapped the abstract behavioral to RTL may not be physical implementable especially in ASIC implementations. \n", "\n", "For these reasons it as Hardware Developers using Behavioral HDL we have to be able to still be able to implement the smallest indivisible units of our HDL at the gate level. Must know what physical limits our target architecture (FPGA, ASIC, etc) has and keep within these limits when writing our HDL code. And lastly, we can not grow lazy in writing behavioral HDL, but must always see at least down to the major RTL elements that our behavioral statements are embodying." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 2:1 MUX via Behavioral IF" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL Module" ] }, { "cell_type": "code", "execution_count": 41, "metadata": {}, "outputs": [], "source": [ "@block\n", "def MUX2_1_B(x0, x1, s, y):\n", " \"\"\"\n", " 2:1 Multiplexer written via behavioral if\n", " Input:\n", " x0(bool): input channel 0\n", " x1(bool): input channel 1\n", " s(bool): channel selection input \n", " Output:\n", " y(bool): ouput\n", " \"\"\"\n", " \n", " @always_comb\n", " def logic():\n", " if s:\n", " y.next=x1\n", " else:\n", " y.next=x0\n", " \n", " return instances()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL Testing" ] }, { "cell_type": "code", "execution_count": 42, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(array([0, 0, 1, 1, 0, 0, 1, 1, 0, 1, 0, 1, 1, 0, 0, 1, 1, 1]),\n", " array([0, 1, 0, 1, 0, 1, 0, 1, 1, 0, 1, 1, 1, 1, 0, 1, 1, 0]),\n", " array([0, 0, 0, 0, 1, 1, 1, 1, 1, 1, 1, 0, 0, 1, 0, 1, 0, 1]),\n", " 18)" ] }, "execution_count": 42, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#generate systmatic and random test values \n", "TestLen=10\n", "SystmaticVals=list(itertools.product([0,1], repeat=3))\n", "\n", "x0TVs=np.array([i[1] for i in SystmaticVals]).astype(int)\n", "np.random.seed(15)\n", "x0TVs=np.append(x0TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "x1TVs=np.array([i[2] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(16)\n", "x1TVs=np.append(x1TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "sTVs=np.array([i[0] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(17)\n", "sTVs=np.append(sTVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "\n", "TestLen=len(x0TVs)\n", "x0TVs, x1TVs, sTVs, TestLen" ] }, { "cell_type": "code", "execution_count": 43, "metadata": {}, "outputs": [], "source": [ "Peeker.clear()\n", "x0=Signal(bool(0)); Peeker(x0, 'x0')\n", "x1=Signal(bool(0)); Peeker(x1, 'x1')\n", "s=Signal(bool(0)); Peeker(s, 's')\n", "y=Signal(bool(0)); Peeker(y, 'y')\n", "\n", "DUT=MUX2_1_B(x0, x1, s, y)\n", "\n", "def MUX2_1_B_TB():\n", " \"\"\"\n", " myHDL only testbench for module `MUX2_1_B`\n", " \"\"\"\n", " \n", " @instance\n", " def stimules():\n", " for i in range(TestLen):\n", " x0.next=int(x0TVs[i])\n", " x1.next=int(x1TVs[i])\n", " s.next=int(sTVs[i])\n", "\n", " yield delay(1)\n", " \n", " raise StopSimulation()\n", " \n", " return instances()\n", "\n", "sim=Simulation(DUT, MUX2_1_B_TB(), *Peeker.instances()).run() " ] }, { "cell_type": "code", "execution_count": 44, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div><script type=\"WaveDrom\">{\"signal\": [{\"name\": \"x1\", \"wave\": \"01010101.01...01.0\"}, {\"name\": \"x0\", \"wave\": \"0.1.0.1.0101.0.1..\"}, {}, {}]}</script></div>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/javascript": [ "$.getScript(\"http://wavedrom.com/wavedrom.min.js\", function () {\n", "$.getScript(\"http://wavedrom.com/skins/default.js\", function () {\n", "WaveDrom.ProcessAll();});\n", "});\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "Peeker.to_wavedrom('x1', 'x0', 's', 'y')" ] }, { "cell_type": "code", "execution_count": 45, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>x1</th>\n", " <th>x0</th>\n", " <th>s</th>\n", " <th>y</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " x1 x0 s y\n", "0 0 0 0 0\n", "1 1 0 0 0\n", "2 0 1 0 1\n", "3 1 1 0 1\n", "4 0 0 1 0\n", "5 1 0 1 1\n", "6 0 1 1 0\n", "7 1 1 1 1\n", "8 1 0 1 1\n", "9 0 1 1 0\n", "10 1 0 1 1\n", "11 1 1 0 1\n", "13 1 0 1 1\n", "14 0 0 0 0\n", "15 1 1 1 1\n", "16 1 1 0 1\n", "17 0 1 1 0" ] }, "execution_count": 45, "metadata": {}, "output_type": "execute_result" } ], "source": [ "MUX2_1_BData=Peeker.to_dataframe()\n", "MUX2_1_BData=MUX2_1_BData[['x1', 'x0', 's', 'y']]\n", "MUX2_1_BData" ] }, { "cell_type": "code", "execution_count": 46, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "`MUX2_1_B` Behavioral is Eqivlint to `MUX2_1_Combo`: True\n" ] } ], "source": [ "Test=MUX2_1_ComboData[['x1', 'x0', 's', 'y']]==MUX2_1_BData\n", "Test=Test.all().all()\n", "print(f'`MUX2_1_B` Behavioral is Eqivlint to `MUX2_1_Combo`: {Test}')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Verilog Conversion" ] }, { "cell_type": "code", "execution_count": 47, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "***Verilog modual from MUX2_1_B.v***\n", "\n", " // File: MUX2_1_B.v\n", "// Generated by MyHDL 0.10\n", "// Date: Sun Sep 23 18:20:53 2018\n", "\n", "\n", "`timescale 1ns/10ps\n", "\n", "module MUX2_1_B (\n", " x0,\n", " x1,\n", " s,\n", " y\n", ");\n", "// 2:1 Multiplexer written via behavioral if\n", "// Input:\n", "// x0(bool): input channel 0\n", "// x1(bool): input channel 1\n", "// s(bool): channel selection input \n", "// Output:\n", "// y(bool): ouput\n", "\n", "input x0;\n", "input x1;\n", "input s;\n", "output y;\n", "reg y;\n", "\n", "\n", "\n", "\n", "always @(s, x0, x1) begin: MUX2_1_B_LOGIC\n", " if (s) begin\n", " y = x1;\n", " end\n", " else begin\n", " y = x0;\n", " end\n", "end\n", "\n", "endmodule\n", "\n" ] } ], "source": [ "DUT.convert()\n", "VerilogTextReader('MUX2_1_B');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX2_1_B_RTL.png}}\n", "\\caption{\\label{fig:M21BRTL} MUX2_1_B RTL schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX2_1_B_SYN.png}}\n", "\\caption{\\label{fig:M21BSYN} MUX2_1_B Synthesized Schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX2_1_B_IMP.png}}\n", "\\caption{\\label{fig:M21BIMP} MUX2_1_B Implementated Schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL to Verilog Testbench" ] }, { "cell_type": "code", "execution_count": 48, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(intbv(52583),\n", " '1100110101100111',\n", " intbv(87798),\n", " '10101011011110110',\n", " intbv(16277),\n", " '11111110010101')" ] }, "execution_count": 48, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#create BitVectors \n", "x0TVs=intbv(int(''.join(x0TVs.astype(str)), 2))[TestLen:]\n", "x1TVs=intbv(int(''.join(x1TVs.astype(str)), 2))[TestLen:]\n", "sTVs=intbv(int(''.join(sTVs.astype(str)), 2))[TestLen:]\n", "\n", "x0TVs, bin(x0TVs), x1TVs, bin(x1TVs), sTVs, bin(sTVs)" ] }, { "cell_type": "code", "execution_count": 49, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "***Verilog modual from MUX2_1_B_TBV.v***\n", "\n", " // File: MUX2_1_B_TBV.v\n", "// Generated by MyHDL 0.10\n", "// Date: Sun Sep 23 18:21:01 2018\n", "\n", "\n", "`timescale 1ns/10ps\n", "\n", "module MUX2_1_B_TBV (\n", "\n", ");\n", "// myHDL -> Verilog testbench for module `MUX2_1_B`\n", "\n", "\n", "reg x0 = 0;\n", "reg x1 = 0;\n", "reg s = 0;\n", "reg y = 0;\n", "wire [17:0] x0TV;\n", "wire [17:0] x1TV;\n", "wire [17:0] sTV;\n", "\n", "assign x0TV = 18'd52583;\n", "assign x1TV = 18'd87798;\n", "assign sTV = 18'd16277;\n", "\n", "\n", "always @(s, y, x0, x1) begin: MUX2_1_B_TBV_PRINT_DATA\n", " $write(\"%h\", x0);\n", " $write(\" \");\n", " $write(\"%h\", x1);\n", " $write(\" \");\n", " $write(\"%h\", s);\n", " $write(\" \");\n", " $write(\"%h\", y);\n", " $write(\"\\n\");\n", "end\n", "\n", "\n", "always @(s, x0, x1) begin: MUX2_1_B_TBV_MUX2_1_B0_0_LOGIC\n", " if (s) begin\n", " y = x1;\n", " end\n", " else begin\n", " y = x0;\n", " end\n", "end\n", "\n", "\n", "initial begin: MUX2_1_B_TBV_STIMULES\n", " integer i;\n", " for (i=0; i<18; i=i+1) begin\n", " x0 <= x0TV[i];\n", " x1 <= x1TV[i];\n", " s <= sTV[i];\n", " # 1;\n", " end\n", " $finish;\n", "end\n", "\n", "endmodule\n", "\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x0TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x1TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: sTV\n", " category=ToVerilogWarning\n" ] } ], "source": [ "@block\n", "def MUX2_1_B_TBV():\n", " \"\"\"\n", " myHDL -> Verilog testbench for module `MUX2_1_B`\n", " \"\"\"\n", " x0=Signal(bool(0))\n", " x1=Signal(bool(0))\n", " s=Signal(bool(0))\n", " y=Signal(bool(0))\n", " \n", " @always_comb\n", " def print_data():\n", " print(x0, x1, s, y)\n", " \n", " #Test Signal Bit Vectors\n", " x0TV=Signal(x0TVs)\n", " x1TV=Signal(x1TVs)\n", " sTV=Signal(sTVs)\n", "\n", "\n", " DUT=MUX2_1_B(x0, x1, s, y)\n", "\n", " @instance\n", " def stimules():\n", " for i in range(TestLen):\n", " x0.next=int(x0TV[i])\n", " x1.next=int(x1TV[i])\n", " s.next=int(sTV[i])\n", " yield delay(1)\n", " \n", " raise StopSimulation()\n", " return instances()\n", "\n", "TB=MUX2_1_B_TBV()\n", "TB.convert(hdl=\"Verilog\", initial_values=True)\n", "VerilogTextReader('MUX2_1_B_TBV'); " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## PYNQ-Z1 Deployment" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Board Circuit \n", "See Board Circuit for \"2 Channel Input:1 Channel Output multiplexer in Gate Level Logic\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Board Constraint \n", "uses the same ` MUX2_1.xdc` as \"2 Channel Input:1 Channel Output multiplexer in Gate Level Logic\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Video of Deployment\n", "MUX2_1_B myHDL PYNQ-Z1 ([YouTube](https://www.youtube.com/watch?v=QrHQfx_Sjnw))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 4:1 MUX via Behavioral if-elif-else" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL Module" ] }, { "cell_type": "code", "execution_count": 50, "metadata": {}, "outputs": [], "source": [ "@block\n", "def MUX4_1_B(x0, x1, x2, x3, s0, s1, y):\n", " \"\"\"\n", " 4:1 Multiblexer written in if-elif-else Behavioral\n", " Input:\n", " x0(bool): input channel 0\n", " x1(bool): input channel 1\n", " x2(bool): input channel 2\n", " x3(bool): input channel 3\n", " s1(bool): channel selection input bit 1\n", " s0(bool): channel selection input bit 0 \n", " Output:\n", " y(bool): ouput\n", " \"\"\"\n", " \n", " @always_comb\n", " def logic():\n", " if s0==0 and s1==0:\n", " y.next=x0\n", " elif s0==1 and s1==0:\n", " y.next=x1\n", " elif s0==0 and s1==1:\n", " y.next=x2\n", " else:\n", " y.next=x3\n", " \n", " return instances()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL Testing" ] }, { "cell_type": "code", "execution_count": 51, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/plain": [ "([(0, 0, 0, 0, 0, 0),\n", " (0, 0, 0, 0, 0, 1),\n", " (0, 0, 0, 0, 1, 0),\n", " (0, 0, 0, 0, 1, 1),\n", " (0, 0, 0, 1, 0, 0),\n", " (0, 0, 0, 1, 0, 1),\n", " (0, 0, 0, 1, 1, 0),\n", " (0, 0, 0, 1, 1, 1),\n", " (0, 0, 1, 0, 0, 0),\n", " (0, 0, 1, 0, 0, 1),\n", " (0, 0, 1, 0, 1, 0),\n", " (0, 0, 1, 0, 1, 1),\n", " (0, 0, 1, 1, 0, 0),\n", " (0, 0, 1, 1, 0, 1),\n", " (0, 0, 1, 1, 1, 0),\n", " (0, 0, 1, 1, 1, 1),\n", " (0, 1, 0, 0, 0, 0),\n", " (0, 1, 0, 0, 0, 1),\n", " (0, 1, 0, 0, 1, 0),\n", " (0, 1, 0, 0, 1, 1),\n", " (0, 1, 0, 1, 0, 0),\n", " (0, 1, 0, 1, 0, 1),\n", " (0, 1, 0, 1, 1, 0),\n", " (0, 1, 0, 1, 1, 1),\n", " (0, 1, 1, 0, 0, 0),\n", " (0, 1, 1, 0, 0, 1),\n", " (0, 1, 1, 0, 1, 0),\n", " (0, 1, 1, 0, 1, 1),\n", " (0, 1, 1, 1, 0, 0),\n", " (0, 1, 1, 1, 0, 1),\n", " (0, 1, 1, 1, 1, 0),\n", " (0, 1, 1, 1, 1, 1),\n", " (1, 0, 0, 0, 0, 0),\n", " (1, 0, 0, 0, 0, 1),\n", " (1, 0, 0, 0, 1, 0),\n", " (1, 0, 0, 0, 1, 1),\n", " (1, 0, 0, 1, 0, 0),\n", " (1, 0, 0, 1, 0, 1),\n", " (1, 0, 0, 1, 1, 0),\n", " (1, 0, 0, 1, 1, 1),\n", " (1, 0, 1, 0, 0, 0),\n", " (1, 0, 1, 0, 0, 1),\n", " (1, 0, 1, 0, 1, 0),\n", " (1, 0, 1, 0, 1, 1),\n", " (1, 0, 1, 1, 0, 0),\n", " (1, 0, 1, 1, 0, 1),\n", " (1, 0, 1, 1, 1, 0),\n", " (1, 0, 1, 1, 1, 1),\n", " (1, 1, 0, 0, 0, 0),\n", " (1, 1, 0, 0, 0, 1),\n", " (1, 1, 0, 0, 1, 0),\n", " (1, 1, 0, 0, 1, 1),\n", " (1, 1, 0, 1, 0, 0),\n", " (1, 1, 0, 1, 0, 1),\n", " (1, 1, 0, 1, 1, 0),\n", " (1, 1, 0, 1, 1, 1),\n", " (1, 1, 1, 0, 0, 0),\n", " (1, 1, 1, 0, 0, 1),\n", " (1, 1, 1, 0, 1, 0),\n", " (1, 1, 1, 0, 1, 1),\n", " (1, 1, 1, 1, 0, 0),\n", " (1, 1, 1, 1, 0, 1),\n", " (1, 1, 1, 1, 1, 0),\n", " (1, 1, 1, 1, 1, 1)],\n", " array([0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1,\n", " 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 0, 1, 1]),\n", " array([0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1, 1,\n", " 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 1, 1]),\n", " array([0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0,\n", " 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1,\n", " 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 1, 0, 1, 1, 0]),\n", " array([0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1,\n", " 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0,\n", " 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 0, 0, 1, 1, 1, 0, 1, 0, 0]),\n", " array([0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1, 1,\n", " 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1,\n", " 1, 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 0, 0, 0, 1, 1, 1, 1, 0, 1, 0, 1, 0]),\n", " array([0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1,\n", " 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0]),\n", " 69)" ] }, "execution_count": 51, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#generate systmatic and random test values \n", "TestLen=5\n", "SystmaticVals=list(itertools.product([0,1], repeat=6))\n", "\n", "s0TVs=np.array([i[0] for i in SystmaticVals]).astype(int)\n", "np.random.seed(15)\n", "s0TVs=np.append(s0TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "s1TVs=np.array([i[1] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(16)\n", "s1TVs=np.append(s1TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "\n", "x0TVs=np.array([i[2] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(17)\n", "x0TVs=np.append(x0TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "x1TVs=np.array([i[3] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(18)\n", "x1TVs=np.append(x1TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "x2TVs=np.array([i[4] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(19)\n", "x2TVs=np.append(x2TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "x3TVs=np.array([i[5] for i in SystmaticVals]).astype(int)\n", "#the random genrator must have a differint seed beween each generation\n", "#call in order to produce differint values for each call\n", "np.random.seed(20)\n", "x3TVs=np.append(x3TVs, np.random.randint(0,2, TestLen)).astype(int)\n", "\n", "\n", "\n", "\n", "TestLen=len(x0TVs)\n", "SystmaticVals, s0TVs, s1TVs, x3TVs, x2TVs, x1TVs, x0TVs, TestLen" ] }, { "cell_type": "code", "execution_count": 52, "metadata": {}, "outputs": [], "source": [ "Peeker.clear()\n", "x0=Signal(bool(0)); Peeker(x0, 'x0')\n", "x1=Signal(bool(0)); Peeker(x1, 'x1')\n", "x2=Signal(bool(0)); Peeker(x2, 'x2')\n", "x3=Signal(bool(0)); Peeker(x3, 'x3')\n", "\n", "s0=Signal(bool(0)); Peeker(s0, 's0')\n", "s1=Signal(bool(0)); Peeker(s1, 's1')\n", "y=Signal(bool(0)); Peeker(y, 'y')\n", "\n", "DUT=MUX4_1_B(x0, x1, x2, x3, s0, s1, y)\n", "\n", "def MUX4_1_B_TB():\n", " \"\"\"\n", " myHDL only testbench for module `MUX4_1_B`\n", " \"\"\"\n", " \n", " @instance\n", " def stimules():\n", " for i in range(TestLen):\n", " x0.next=int(x0TVs[i])\n", " x1.next=int(x1TVs[i])\n", " x2.next=int(x2TVs[i])\n", " x3.next=int(x3TVs[i])\n", " s0.next=int(s0TVs[i])\n", " s1.next=int(s1TVs[i])\n", "\n", " \n", " yield delay(1)\n", " \n", " raise StopSimulation()\n", " \n", " return instances()\n", "\n", "sim=Simulation(DUT, MUX4_1_B_TB(), *Peeker.instances()).run() " ] }, { "cell_type": "code", "execution_count": 53, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div><script type=\"WaveDrom\">{\"signal\": [{\"name\": \"x0\", \"wave\": \"0.......1.......0.......1.......0.......1.......0.......1..........0.\"}, {\"name\": \"x1\", \"wave\": \"0...1...0...1...0...1...0...1...0...1...0...1...0...1...0...1...01010\"}, {\"name\": \"x2\", \"wave\": \"0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1.0.1..010.\"}, {\"name\": \"x3\", \"wave\": \"0101010101010101010101010101010101010101010101010101010101010101.01.0\"}, {\"name\": \"s0[0]\", \"wave\": \"0...............................1...............................0101.\"}, {\"name\": \"s1[0]\", \"wave\": \"0...............1...............0...............1................01..\"}, {\"name\": \"y[0]\", \"wave\": \"0.......1.......0.1.0.1.0.1.0.1.0...1...0...1...0101010101010101....0\"}]}</script></div>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/javascript": [ "$.getScript(\"http://wavedrom.com/wavedrom.min.js\", function () {\n", "$.getScript(\"http://wavedrom.com/skins/default.js\", function () {\n", "WaveDrom.ProcessAll();});\n", "});\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "Peeker.to_wavedrom()" ] }, { "cell_type": "code", "execution_count": 54, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>x3</th>\n", " <th>x2</th>\n", " <th>x1</th>\n", " <th>x0</th>\n", " <th>s1</th>\n", " <th>s0</th>\n", " <th>y</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>39</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>40</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>41</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>42</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>43</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>44</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>45</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>46</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>47</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>48</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>49</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>50</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>51</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>52</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>53</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>54</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>55</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>56</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>57</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>58</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>59</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>60</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>61</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>62</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>63</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>64</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>65</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>66</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>67</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>68</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>" ], "text/plain": [ " x3 x2 x1 x0 s1 s0 y\n", "0 0 0 0 0 0 0 0\n", "1 1 0 0 0 0 0 0\n", "2 0 1 0 0 0 0 0\n", "3 1 1 0 0 0 0 0\n", "4 0 0 1 0 0 0 0\n", "5 1 0 1 0 0 0 0\n", "6 0 1 1 0 0 0 0\n", "7 1 1 1 0 0 0 0\n", "8 0 0 0 1 0 0 1\n", "9 1 0 0 1 0 0 1\n", "10 0 1 0 1 0 0 1\n", "11 1 1 0 1 0 0 1\n", "12 0 0 1 1 0 0 1\n", "13 1 0 1 1 0 0 1\n", "14 0 1 1 1 0 0 1\n", "15 1 1 1 1 0 0 1\n", "16 0 0 0 0 1 0 0\n", "17 1 0 0 0 1 0 0\n", "18 0 1 0 0 1 0 1\n", "19 1 1 0 0 1 0 1\n", "20 0 0 1 0 1 0 0\n", "21 1 0 1 0 1 0 0\n", "22 0 1 1 0 1 0 1\n", "23 1 1 1 0 1 0 1\n", "24 0 0 0 1 1 0 0\n", "25 1 0 0 1 1 0 0\n", "26 0 1 0 1 1 0 1\n", "27 1 1 0 1 1 0 1\n", "28 0 0 1 1 1 0 0\n", "29 1 0 1 1 1 0 0\n", ".. .. .. .. .. .. .. ..\n", "39 1 1 1 0 0 1 1\n", "40 0 0 0 1 0 1 0\n", "41 1 0 0 1 0 1 0\n", "42 0 1 0 1 0 1 0\n", "43 1 1 0 1 0 1 0\n", "44 0 0 1 1 0 1 1\n", "45 1 0 1 1 0 1 1\n", "46 0 1 1 1 0 1 1\n", "47 1 1 1 1 0 1 1\n", "48 0 0 0 0 1 1 0\n", "49 1 0 0 0 1 1 1\n", "50 0 1 0 0 1 1 0\n", "51 1 1 0 0 1 1 1\n", "52 0 0 1 0 1 1 0\n", "53 1 0 1 0 1 1 1\n", "54 0 1 1 0 1 1 0\n", "55 1 1 1 0 1 1 1\n", "56 0 0 0 1 1 1 0\n", "57 1 0 0 1 1 1 1\n", "58 0 1 0 1 1 1 0\n", "59 1 1 0 1 1 1 1\n", "60 0 0 1 1 1 1 0\n", "61 1 0 1 1 1 1 1\n", "62 0 1 1 1 1 1 0\n", "63 1 1 1 1 1 1 1\n", "64 1 1 0 1 1 0 1\n", "65 0 0 1 1 0 1 1\n", "66 1 1 0 1 1 0 1\n", "67 1 0 1 0 1 1 1\n", "68 0 0 0 0 1 1 0\n", "\n", "[69 rows x 7 columns]" ] }, "execution_count": 54, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/html": [ "<b>limit_output extension: Maximum message size of 10000 exceeded with 10064 characters</b>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "MUX4_1_BData=Peeker.to_dataframe()\n", "MUX4_1_BData=MUX4_1_BData[['x3', 'x2', 'x1', 'x0', 's1', 's0', 'y']]\n", "MUX4_1_BData" ] }, { "cell_type": "code", "execution_count": 55, "metadata": { "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Module `MUX4_1_B` works as exspected: True\n" ] } ], "source": [ "Test=MUX4_1_ComboData[['x3', 'x2', 'x1', 'x0', 's1', 's0', 'y']]==MUX4_1_BData\n", "Test=Test.all().all()\n", "print(f'Module `MUX4_1_B` works as exspected: {Test}')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Verilog Conversion" ] }, { "cell_type": "code", "execution_count": 56, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "***Verilog modual from MUX4_1_B.v***\n", "\n", " // File: MUX4_1_B.v\n", "// Generated by MyHDL 0.10\n", "// Date: Sun Sep 23 18:21:21 2018\n", "\n", "\n", "`timescale 1ns/10ps\n", "\n", "module MUX4_1_B (\n", " x0,\n", " x1,\n", " x2,\n", " x3,\n", " s0,\n", " s1,\n", " y\n", ");\n", "// 4:1 Multiblexer written in if-elif-else Behavioral\n", "// Input:\n", "// x0(bool): input channel 0\n", "// x1(bool): input channel 1\n", "// x2(bool): input channel 2\n", "// x3(bool): input channel 3\n", "// s1(bool): channel selection input bit 1\n", "// s0(bool): channel selection input bit 0 \n", "// Output:\n", "// y(bool): ouput\n", "\n", "input x0;\n", "input x1;\n", "input x2;\n", "input x3;\n", "input s0;\n", "input s1;\n", "output y;\n", "reg y;\n", "\n", "\n", "\n", "\n", "always @(x0, s0, x3, x2, x1, s1) begin: MUX4_1_B_LOGIC\n", " if (((s0 == 0) && (s1 == 0))) begin\n", " y = x0;\n", " end\n", " else if (((s0 == 1) && (s1 == 0))) begin\n", " y = x1;\n", " end\n", " else if (((s0 == 0) && (s1 == 1))) begin\n", " y = x2;\n", " end\n", " else begin\n", " y = x3;\n", " end\n", "end\n", "\n", "endmodule\n", "\n" ] } ], "source": [ "DUT.convert()\n", "VerilogTextReader('MUX4_1_B');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX4_1_B_RTL.png}}\n", "\\caption{\\label{fig:M41BRTL} MUX4_1_B RTL schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX4_1_B_SYN.png}}\n", "\\caption{\\label{fig:M41BSYN} MUX4_1_B Synthesized Schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX4_1_B_IMP.png}}\n", "\\caption{\\label{fig:M41BIMP} MUX4_1_B Implementated Schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL to Verilog Testbench" ] }, { "cell_type": "code", "execution_count": 57, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(intbv(2296870857426870268),\n", " '1111111100000000111111110000000011111111000000001111111111100',\n", " intbv(34723282962276803050),\n", " '11110000111100001111000011110000111100001111000011110000111101010',\n", " intbv(118059162071741130356),\n", " '1100110011001100110011001100110011001100110011001100110011001110100',\n", " intbv(196765270119568550582),\n", " '10101010101010101010101010101010101010101010101010101010101010110110',\n", " intbv(137438953451),\n", " '1111111111111111111111111111111101011',\n", " intbv(9007061817884663),\n", " '11111111111111110000000000000000111111111111111110111')" ] }, "execution_count": 57, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#create BitVectors \n", "x0TVs=intbv(int(''.join(x0TVs.astype(str)), 2))[TestLen:]\n", "x1TVs=intbv(int(''.join(x1TVs.astype(str)), 2))[TestLen:]\n", "x2TVs=intbv(int(''.join(x2TVs.astype(str)), 2))[TestLen:]\n", "x3TVs=intbv(int(''.join(x3TVs.astype(str)), 2))[TestLen:]\n", "\n", "\n", "s0TVs=intbv(int(''.join(s0TVs.astype(str)), 2))[TestLen:]\n", "s1TVs=intbv(int(''.join(s1TVs.astype(str)), 2))[TestLen:]\n", "\n", "\n", "x0TVs, bin(x0TVs), x1TVs, bin(x1TVs), x2TVs, bin(x2TVs), x3TVs, bin(x3TVs), s0TVs, bin(s0TVs), s1TVs, bin(s1TVs)" ] }, { "cell_type": "code", "execution_count": 58, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "<class 'myhdl._Signal._Signal'> <class '_ast.Name'>\n", "***Verilog modual from MUX4_1_B_TBV.v***\n", "\n", " // File: MUX4_1_B_TBV.v\n", "// Generated by MyHDL 0.10\n", "// Date: Sun Sep 23 18:21:30 2018\n", "\n", "\n", "`timescale 1ns/10ps\n", "\n", "module MUX4_1_B_TBV (\n", "\n", ");\n", "// myHDL -> Verilog testbench for module `MUX4_1_B`\n", "\n", "\n", "reg x0 = 0;\n", "reg x1 = 0;\n", "reg y = 0;\n", "reg x2 = 0;\n", "reg x3 = 0;\n", "reg s0 = 0;\n", "reg s1 = 0;\n", "wire [68:0] x0TV;\n", "wire [68:0] x1TV;\n", "wire [68:0] x2TV;\n", "wire [68:0] x3TV;\n", "wire [68:0] s0TV;\n", "wire [68:0] s1TV;\n", "\n", "assign x0TV = 69'd2296870857426870268;\n", "assign x1TV = 69'd34723282962276803050;\n", "assign x2TV = 69'd118059162071741130356;\n", "assign x3TV = 69'd196765270119568550582;\n", "assign s0TV = 69'd137438953451;\n", "assign s1TV = 69'd9007061817884663;\n", "\n", "\n", "always @(x0, x3, s0, x2, y, x1, s1) begin: MUX4_1_B_TBV_PRINT_DATA\n", " $write(\"%h\", x0);\n", " $write(\" \");\n", " $write(\"%h\", x1);\n", " $write(\" \");\n", " $write(\"%h\", x2);\n", " $write(\" \");\n", " $write(\"%h\", x3);\n", " $write(\" \");\n", " $write(\"%h\", s0);\n", " $write(\" \");\n", " $write(\"%h\", s1);\n", " $write(\" \");\n", " $write(\"%h\", y);\n", " $write(\"\\n\");\n", "end\n", "\n", "\n", "always @(x0, s0, x3, x2, x1, s1) begin: MUX4_1_B_TBV_MUX4_1_B0_0_LOGIC\n", " if (((s0 == 0) && (s1 == 0))) begin\n", " y = x0;\n", " end\n", " else if (((s0 == 1) && (s1 == 0))) begin\n", " y = x1;\n", " end\n", " else if (((s0 == 0) && (s1 == 1))) begin\n", " y = x2;\n", " end\n", " else begin\n", " y = x3;\n", " end\n", "end\n", "\n", "\n", "initial begin: MUX4_1_B_TBV_STIMULES\n", " integer i;\n", " for (i=0; i<69; i=i+1) begin\n", " x0 <= x0TV[i];\n", " x1 <= x1TV[i];\n", " x2 <= x2TV[i];\n", " x3 <= x3TV[i];\n", " s0 <= s0TV[i];\n", " s1 <= s1TV[i];\n", " # 1;\n", " end\n", " $finish;\n", "end\n", "\n", "endmodule\n", "\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x0TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x1TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x2TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: x3TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: s0TV\n", " category=ToVerilogWarning\n", "/home/iridium/anaconda3/lib/python3.6/site-packages/myhdl/conversion/_toVerilog.py:349: ToVerilogWarning: Signal is not driven: s1TV\n", " category=ToVerilogWarning\n" ] } ], "source": [ "@block\n", "def MUX4_1_B_TBV():\n", " \"\"\"\n", " myHDL -> Verilog testbench for module `MUX4_1_B`\n", " \"\"\"\n", " \n", " x0=Signal(bool(0))\n", " x1=Signal(bool(0))\n", " x2=Signal(bool(0))\n", " x3=Signal(bool(0))\n", " y=Signal(bool(0))\n", " s0=Signal(bool(0))\n", " s1=Signal(bool(0))\n", "\n", " \n", " @always_comb\n", " def print_data():\n", " print(x0, x1, x2, x3, s0, s1, y)\n", " \n", " #Test Signal Bit Vectors\n", " x0TV=Signal(x0TVs)\n", " x1TV=Signal(x1TVs)\n", " x2TV=Signal(x2TVs)\n", " x3TV=Signal(x3TVs)\n", " s0TV=Signal(s0TVs)\n", " s1TV=Signal(s1TVs)\n", "\n", "\n", " DUT=MUX4_1_B(x0, x1, x2, x3, s0, s1, y)\n", "\n", " @instance\n", " def stimules():\n", " for i in range(TestLen):\n", " x0.next=int(x0TV[i])\n", " x1.next=int(x1TV[i])\n", " x2.next=int(x2TV[i])\n", " x3.next=int(x3TV[i])\n", " s0.next=int(s0TV[i])\n", " s1.next=int(s1TV[i])\n", " yield delay(1)\n", " \n", " raise StopSimulation()\n", " return instances()\n", "\n", "TB=MUX4_1_B_TBV()\n", "TB.convert(hdl=\"Verilog\", initial_values=True)\n", "VerilogTextReader('MUX4_1_B_TBV'); " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## PYNQ-Z1 Deployment" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Board Circuit \n", "See Board Circuit for \"4 Channel Input : 1 Channel Output multiplexer in Gate Level Logic\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Board Constraint \n", "uses same 'MUX4_1.xdc' as \"4 Channel Input : 1 Channel Output multiplexer in Gate Level Logic\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Video of Deployment\n", "MUX4_1_B myHDL PYNQ-Z1 ([YouTube](https://www.youtube.com/watch?v=UKXx4PYS1xI))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Multiplexer 4:1 Behavioral via Bitvectors" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL Module" ] }, { "cell_type": "code", "execution_count": 59, "metadata": {}, "outputs": [], "source": [ "@block\n", "def MUX4_1_BV(X, S, y):\n", " \"\"\"\n", " 4:1 Multiblexerwritten in behvioral \"if-elif-else\"(case)\n", " with BitVector inputs\n", " Input:\n", " X(4bitBV):input bit vector; min=0, max=15\n", " S(2bitBV):selection bit vector; min=0, max=3\n", " Output:\n", " y(bool): ouput\n", " \"\"\"\n", " \n", " @always_comb\n", " def logic():\n", " if S==0:\n", " y.next=X[0]\n", " elif S==1:\n", " y.next=X[1]\n", " elif S==2:\n", " y.next=X[2]\n", " else:\n", " y.next=X[3]\n", " \n", " return instances()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL Testing" ] }, { "cell_type": "code", "execution_count": 60, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(10, array([1, 2, 4, 8, 4, 2, 1, 8, 4, 8]), array([0, 1, 2, 3, 3, 3, 2, 1, 1]))" ] }, "execution_count": 60, "metadata": {}, "output_type": "execute_result" } ], "source": [ "XTVs=np.array([1,2,4,8])\n", "XTVs=np.append(XTVs, np.random.choice([1,2,4,8], 6)).astype(int)\n", "TestLen=len(XTVs)\n", "\n", "np.random.seed(12)\n", "STVs=np.arange(0,4)\n", "STVs=np.append(STVs, np.random.randint(0,4, 5))\n", "TestLen, XTVs, STVs" ] }, { "cell_type": "code", "execution_count": 61, "metadata": {}, "outputs": [], "source": [ "Peeker.clear()\n", "X=Signal(intbv(0)[4:]); Peeker(X, 'X')\n", "S=Signal(intbv(0)[2:]); Peeker(S, 'S')\n", "y=Signal(bool(0)); Peeker(y, 'y')\n", "\n", "DUT=MUX4_1_BV(X, S, y)\n", "\n", "def MUX4_1_BV_TB():\n", " \n", " @instance\n", " def stimules():\n", " for i in STVs:\n", " for j in XTVs:\n", " S.next=int(i)\n", " X.next=int(j)\n", " yield delay(1)\n", " \n", " raise StopSimulation()\n", " \n", " return instances()\n", "\n", "sim=Simulation(DUT, MUX4_1_BV_TB(), *Peeker.instances()).run() " ] }, { "cell_type": "code", "execution_count": 62, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div><script type=\"WaveDrom\">{\"signal\": [{\"name\": \"X\", \"wave\": \"=======================\", \"data\": [\"1\", \"2\", \"4\", \"8\", \"4\", \"2\", \"1\", \"8\", \"4\", \"8\", \"1\", \"2\", \"4\", \"8\", \"4\", \"2\", \"1\", \"8\", \"4\", \"8\", \"1\", \"2\", \"4\"]}, {\"name\": \"S\", \"wave\": \"=.........=.........=..\", \"data\": [\"0\", \"1\", \"2\"]}, {\"name\": \"y\", \"wave\": \"10....10...10..10.....1\"}]}</script></div>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/javascript": [ "$.getScript(\"http://wavedrom.com/wavedrom.min.js\", function () {\n", "$.getScript(\"http://wavedrom.com/skins/default.js\", function () {\n", "WaveDrom.ProcessAll();});\n", "});\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "Peeker.to_wavedrom('X', 'S', 'y', start_time=0, stop_time=2*TestLen+2)" ] }, { "cell_type": "code", "execution_count": 63, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>X</th>\n", " <th>S</th>\n", " <th>y</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>4</td>\n", " <td>2</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>8</td>\n", " <td>2</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>4</td>\n", " <td>2</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>8</td>\n", " <td>2</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>4</td>\n", " <td>2</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>8</td>\n", " <td>2</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>60</th>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>61</th>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>62</th>\n", " <td>4</td>\n", " <td>2</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>63</th>\n", " <td>8</td>\n", " <td>2</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>64</th>\n", " <td>4</td>\n", " <td>2</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>65</th>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>66</th>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>67</th>\n", " <td>8</td>\n", " <td>2</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>68</th>\n", " <td>4</td>\n", " <td>2</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>69</th>\n", " <td>8</td>\n", " <td>2</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>70</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>71</th>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>72</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>73</th>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>74</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>75</th>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>76</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>77</th>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>78</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>79</th>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>80</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>81</th>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>82</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>83</th>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>84</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>85</th>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>86</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>87</th>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>88</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>89</th>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>90 rows × 3 columns</p>\n", "</div>" ], "text/plain": [ " X S y\n", "0 1 0 1\n", "1 2 0 0\n", "2 4 0 0\n", "3 8 0 0\n", "4 4 0 0\n", "5 2 0 0\n", "6 1 0 1\n", "7 8 0 0\n", "8 4 0 0\n", "9 8 0 0\n", "10 1 1 0\n", "11 2 1 1\n", "12 4 1 0\n", "13 8 1 0\n", "14 4 1 0\n", "15 2 1 1\n", "16 1 1 0\n", "17 8 1 0\n", "18 4 1 0\n", "19 8 1 0\n", "20 1 2 0\n", "21 2 2 0\n", "22 4 2 1\n", "23 8 2 0\n", "24 4 2 1\n", "25 2 2 0\n", "26 1 2 0\n", "27 8 2 0\n", "28 4 2 1\n", "29 8 2 0\n", ".. .. .. ..\n", "60 1 2 0\n", "61 2 2 0\n", "62 4 2 1\n", "63 8 2 0\n", "64 4 2 1\n", "65 2 2 0\n", "66 1 2 0\n", "67 8 2 0\n", "68 4 2 1\n", "69 8 2 0\n", "70 1 1 0\n", "71 2 1 1\n", "72 4 1 0\n", "73 8 1 0\n", "74 4 1 0\n", "75 2 1 1\n", "76 1 1 0\n", "77 8 1 0\n", "78 4 1 0\n", "79 8 1 0\n", "80 1 1 0\n", "81 2 1 1\n", "82 4 1 0\n", "83 8 1 0\n", "84 4 1 0\n", "85 2 1 1\n", "86 1 1 0\n", "87 8 1 0\n", "88 4 1 0\n", "89 8 1 0\n", "\n", "[90 rows x 3 columns]" ] }, "execution_count": 63, "metadata": {}, "output_type": "execute_result" } ], "source": [ "MUX4_1_BVData=Peeker.to_dataframe()\n", "MUX4_1_BVData=MUX4_1_BVData[['X', 'S', 'y']]\n", "MUX4_1_BVData" ] }, { "cell_type": "code", "execution_count": 64, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>X</th>\n", " <th>x0</th>\n", " <th>x1</th>\n", " <th>x2</th>\n", " <th>x3</th>\n", " <th>S</th>\n", " <th>s0</th>\n", " <th>s1</th>\n", " <th>y</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>60</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>61</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>62</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>63</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>64</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>65</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>66</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>67</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>68</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>69</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>70</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>71</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>72</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>73</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>74</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>75</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>76</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>77</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>78</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0" ], "text/plain": [ " X x0 x1 x2 x3 S s0 s1 y\n", "0 1 1 0 0 0 0 0 0 1\n", "1 2 0 1 0 0 0 0 0 0\n", "2 4 0 0 1 0 0 0 0 0\n", "3 8 0 0 0 1 0 0 0 0\n", "4 4 0 0 1 0 0 0 0 0\n", "5 2 0 1 0 0 0 0 0 0\n", "6 1 1 0 0 0 0 0 0 1\n", "7 8 0 0 0 1 0 0 0 0\n", "8 4 0 0 1 0 0 0 0 0\n", "9 8 0 0 0 1 0 0 0 0\n", "10 1 1 0 0 0 1 1 0 0\n", "11 2 0 1 0 0 1 1 0 1\n", "12 4 0 0 1 0 1 1 0 0\n", "13 8 0 0 0 1 1 1 0 0\n", "14 4 0 0 1 0 1 1 0 0\n", "15 2 0 1 0 0 1 1 0 1\n", "16 1 1 0 0 0 1 1 0 0\n", "17 8 0 0 0 1 1 1 0 0\n", "18 4 0 0 1 0 1 1 0 0\n", "19 8 0 0 0 1 1 1 0 0\n", "20 1 1 0 0 0 2 0 1 0\n", "21 2 0 1 0 0 2 0 1 0\n", "22 4 0 0 1 0 2 0 1 1\n", "23 8 0 0 0 1 2 0 1 0\n", "24 4 0 0 1 0 2 0 1 1\n", "25 2 0 1 0 0 2 0 1 0\n", "26 1 1 0 0 0 2 0 1 0\n", "27 8 0 0 0 1 2 0 1 0\n", "28 4 0 0 1 0 2 0 1 1\n", "29 8 0 0 0 1 2 0 1 0\n", ".. .. .. .. .. .. .. .. .. ..\n", "60 1 1 0 0 0 2 0 1 0\n", "61 2 0 1 0 0 2 0 1 0\n", "62 4 0 0 1 0 2 0 1 1\n", "63 8 0 0 0 1 2 0 1 0\n", "64 4 0 0 1 0 2 0 1 1\n", "65 2 0 1 0 0 2 0 1 0\n", "66 1 1 0 0 0 2 0 1 0\n", "67 8 0 0 0 1 2 0 1 0\n", "68 4 0 0 1 0 2 0 1 1\n", "69 8 0 0 0 1 2 0 1 0\n", "70 1 1 0 0 0 1 1 0 0\n", "71 2 0 1 0 0 1 1 0 1\n", "72 4 0 0 1 0 1 1 0 0\n", "73 8 0 0 0 1 1 1 0 0\n", "74 4 0 0 1 0 1 1 0 0\n", "75 2 0 1 0 0 1 1 0 1\n", "76 1 1 0 0 0 1 1 0 0\n", "77 8 0 0 0 1 1 1 0 0\n", "78 4 0 0 1 0 1 1 0 0\n", "79 8 0 0 0 1 1 1 0 0\n", "80 1 1 0 0 0 1 1 0 0\n", "81 2 0 1 0 0 1 1 0 1\n", "82 4 0 0 1 0 1 1 0 0\n", "83 8 0 0 0 1 1 1 0 0\n", "84 4 0 0 1 0 1 1 0 0\n", "85 2 0 1 0 0 1 1 0 1\n", "86 1 1 0 0 0 1 1 0 0\n", "87 8 0 0 0 1 1 1 0 0\n", "88 4 0 0 1 0 1 1 0 0\n", "89 8 0 0 0 1 1 1 0 0\n", "\n", "[90 rows x 9 columns]" ] }, "execution_count": 64, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/html": [ "<b>limit_output extension: Maximum message size of 10000 exceeded with 12176 characters</b>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "MUX4_1_BVData['x0']=None; MUX4_1_BVData['x1']=None; MUX4_1_BVData['x2']=None; MUX4_1_BVData['x3']=None\n", "MUX4_1_BVData[['x3', 'x2', 'x1', 'x0']]=MUX4_1_BVData[['X']].apply(lambda bv: [int(i) for i in bin(bv, 4)], axis=1, result_type='expand')\n", "\n", "MUX4_1_BVData['s0']=None; MUX4_1_BVData['s1']=None\n", "MUX4_1_BVData[['s1', 's0']]=MUX4_1_BVData[['S']].apply(lambda bv: [int(i) for i in bin(bv, 2)], axis=1, result_type='expand')\n", "\n", "MUX4_1_BVData=MUX4_1_BVData[['X', 'x0', 'x1', 'x2', 'x3', 'S', 's0', 's1', 'y']]\n", "MUX4_1_BVData" ] }, { "cell_type": "code", "execution_count": 65, "metadata": { "scrolled": true }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/home/iridium/anaconda3/lib/python3.6/site-packages/ipykernel_launcher.py:1: SettingWithCopyWarning: \n", "A value is trying to be set on a copy of a slice from a DataFrame.\n", "Try using .loc[row_indexer,col_indexer] = value instead\n", "\n", "See the caveats in the documentation: http://pandas.pydata.org/pandas-docs/stable/indexing.html#indexing-view-versus-copy\n", " \"\"\"Entry point for launching an IPython kernel.\n" ] }, { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>X</th>\n", " <th>x0</th>\n", " <th>x1</th>\n", " <th>x2</th>\n", " <th>x3</th>\n", " <th>S</th>\n", " <th>s0</th>\n", " <th>s1</th>\n", " <th>y</th>\n", " <th>yRef</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>60</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>61</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>62</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>63</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>64</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>65</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>66</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>67</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>68</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>69</th>\n", " <td>8</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>70</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>71</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>72</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n" ], "text/plain": [ " X x0 x1 x2 x3 S s0 s1 y yRef\n", "0 1 1 0 0 0 0 0 0 1 1\n", "1 2 0 1 0 0 0 0 0 0 0\n", "2 4 0 0 1 0 0 0 0 0 0\n", "3 8 0 0 0 1 0 0 0 0 0\n", "4 4 0 0 1 0 0 0 0 0 0\n", "5 2 0 1 0 0 0 0 0 0 0\n", "6 1 1 0 0 0 0 0 0 1 1\n", "7 8 0 0 0 1 0 0 0 0 0\n", "8 4 0 0 1 0 0 0 0 0 0\n", "9 8 0 0 0 1 0 0 0 0 0\n", "10 1 1 0 0 0 1 1 0 0 0\n", "11 2 0 1 0 0 1 1 0 1 1\n", "12 4 0 0 1 0 1 1 0 0 0\n", "13 8 0 0 0 1 1 1 0 0 0\n", "14 4 0 0 1 0 1 1 0 0 0\n", "15 2 0 1 0 0 1 1 0 1 1\n", "16 1 1 0 0 0 1 1 0 0 0\n", "17 8 0 0 0 1 1 1 0 0 0\n", "18 4 0 0 1 0 1 1 0 0 0\n", "19 8 0 0 0 1 1 1 0 0 0\n", "20 1 1 0 0 0 2 0 1 0 0\n", "21 2 0 1 0 0 2 0 1 0 0\n", "22 4 0 0 1 0 2 0 1 1 1\n", "23 8 0 0 0 1 2 0 1 0 0\n", "24 4 0 0 1 0 2 0 1 1 1\n", "25 2 0 1 0 0 2 0 1 0 0\n", "26 1 1 0 0 0 2 0 1 0 0\n", "27 8 0 0 0 1 2 0 1 0 0\n", "28 4 0 0 1 0 2 0 1 1 1\n", "29 8 0 0 0 1 2 0 1 0 0\n", ".. .. .. .. .. .. .. .. .. .. ...\n", "60 1 1 0 0 0 2 0 1 0 0\n", "61 2 0 1 0 0 2 0 1 0 0\n", "62 4 0 0 1 0 2 0 1 1 1\n", "63 8 0 0 0 1 2 0 1 0 0\n", "64 4 0 0 1 0 2 0 1 1 1\n", "65 2 0 1 0 0 2 0 1 0 0\n", "66 1 1 0 0 0 2 0 1 0 0\n", "67 8 0 0 0 1 2 0 1 0 0\n", "68 4 0 0 1 0 2 0 1 1 1\n", "69 8 0 0 0 1 2 0 1 0 0\n", "70 1 1 0 0 0 1 1 0 0 0\n", "71 2 0 1 0 0 1 1 0 1 1\n", "72 4 0 0 1 0 1 1 0 0 0\n", "73 8 0 0 0 1 1 1 0 0 0\n", "74 4 0 0 1 0 1 1 0 0 0\n", "75 2 0 1 0 0 1 1 0 1 1\n", "76 1 1 0 0 0 1 1 0 0 0\n", "77 8 0 0 0 1 1 1 0 0 0\n", "78 4 0 0 1 0 1 1 0 0 0\n", "79 8 0 0 0 1 1 1 0 0 0\n", "80 1 1 0 0 0 1 1 0 0 0\n", "81 2 0 1 0 0 1 1 0 1 1\n", "82 4 0 0 1 0 1 1 0 0 0\n", "83 8 0 0 0 1 1 1 0 0 0\n", "84 4 0 0 1 0 1 1 0 0 0\n", "85 2 0 1 0 0 1 1 0 1 1\n", "86 1 1 0 0 0 1 1 0 0 0\n", "87 8 0 0 0 1 1 1 0 0 0\n", "88 4 0 0 1 0 1 1 0 0 0\n", "89 8 0 0 0 1 1 1 0 0 0\n", "\n", "[90 rows x 10 columns]" ] }, "execution_count": 65, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/html": [ "<b>limit_output extension: Maximum message size of 10000 exceeded with 13634 characters</b>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "MUX4_1_BVData['yRef']=MUX4_1_BVData.apply(lambda row:y41EqN(row['x0'], row['x1'], row['x2'], row['x3'], row['s0'], row['s1']), axis=1).astype(int)\n", "MUX4_1_BVData" ] }, { "cell_type": "code", "execution_count": 66, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Module `MUX4_1_BVData` works as exspected: True\n" ] } ], "source": [ "Test=(MUX4_1_BVData['y']==MUX4_1_BVData['yRef']).all()\n", "print(f'Module `MUX4_1_BVData` works as exspected: {Test}')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Verilog Conversion" ] }, { "cell_type": "code", "execution_count": 67, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "***Verilog modual from MUX4_1_BV.v***\n", "\n", " // File: MUX4_1_BV.v\n", "// Generated by MyHDL 0.10\n", "// Date: Sun Sep 23 18:21:56 2018\n", "\n", "\n", "`timescale 1ns/10ps\n", "\n", "module MUX4_1_BV (\n", " X,\n", " S,\n", " y\n", ");\n", "// 4:1 Multiblexerwritten in behvioral \"if-elif-else\"(case)\n", "// with BitVector inputs\n", "// Input:\n", "// X(4bitBV):input bit vector; min=0, max=15\n", "// S(2bitBV):selection bit vector; min=0, max=3\n", "// Output:\n", "// y(bool): ouput\n", "\n", "input [3:0] X;\n", "input [1:0] S;\n", "output y;\n", "reg y;\n", "\n", "\n", "\n", "\n", "always @(X, S) begin: MUX4_1_BV_LOGIC\n", " case (S)\n", " 'h0: begin\n", " y = X[0];\n", " end\n", " 'h1: begin\n", " y = X[1];\n", " end\n", " 'h2: begin\n", " y = X[2];\n", " end\n", " default: begin\n", " y = X[3];\n", " end\n", " endcase\n", "end\n", "\n", "endmodule\n", "\n" ] } ], "source": [ "DUT.convert()\n", "VerilogTextReader('MUX4_1_BV');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX4_1_BV_RTL.png}}\n", "\\caption{\\label{fig:M41BVRTL} MUX4_1_BV RTL schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX4_1_BV_SYN.png}}\n", "\\caption{\\label{fig:M41BVSYN} MUX4_1_BV Synthesized Schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\\begin{figure}\n", "\\centerline{\\includegraphics[width=10cm]{MUX4_1_BV_IMP.png}}\n", "\\caption{\\label{fig:M41BVIMP} MUX4_1_BV Implementated Schematic; Xilinx Vivado 2017.4}\n", "\\end{figure}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## myHDL to Verilog Testbench\n", "Will Do later" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## PYNQ-Z1 Deployment" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Board Circuit \n", "See Board Circuit for \"4 Channel Input : 1 Channel Output multiplexer in Gate Level Logic\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Board Constraint \n", "notice that in `get_ports` the pin is set to the a single bit of the bitvector via bitvector indexing" ] }, { "cell_type": "code", "execution_count": 68, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "***Constraint file from MUX4_1_BV.xdc***\n", "\n", " ## PYNQ-Z1 Constraint File for MUX4_1_BV\n", "## Based on https://github.com/Xilinx/PYNQ/blob/master/boards/Pynq-Z1/base/vivado/constraints/base.xdc\n", "\n", "\n", "## Switches\n", "set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS33} [get_ports {S[0]}]; ## SW0\n", "set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS33} [get_ports {S[1]}]; ## SW1\n", "\n", "## Buttons\n", "set_property -dict {PACKAGE_PIN D19 IOSTANDARD LVCMOS33} [get_ports {X[0]}]; ## BT0\n", "set_property -dict {PACKAGE_PIN D20 IOSTANDARD LVCMOS33} [get_ports {X[1]}]; ## BT1\n", "set_property -dict {PACKAGE_PIN L20 IOSTANDARD LVCMOS33} [get_ports {X[2]}]; ## BT2\n", "set_property -dict {PACKAGE_PIN L19 IOSTANDARD LVCMOS33} [get_ports {X[3]}]; ## BT3\n", "\n", "\n", "## LEDs\n", "set_property -dict {PACKAGE_PIN R14 IOSTANDARD LVCMOS33} [get_ports {y}]; ## Led 0\n", "\n", "\n", "\n" ] } ], "source": [ "ConstraintXDCTextReader('MUX4_1_BV');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Video of Deployment\n", "MUX4_1_BV myHDL PYNQ-Z1 ([YouTube](https://www.youtube.com/watch?v=vFG9kgLXJek))" ] } ], "metadata": { "author": "myHDL Combinational Logic Elements: Multiplexers (MUXs))", "hide_input": false, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.2" }, "latex_envs": { "LaTeX_envs_menu_present": true, "autoclose": false, "autocomplete": true, "bibliofile": "biblio.bib", "cite_by": "apalike", "current_citInitial": 1, "eqLabelWithNumbers": true, "eqNumInitial": 1, "hotkeys": { "equation": "Ctrl-E", "itemize": "Ctrl-I" }, "labels_anchors": false, "latex_user_defs": false, "report_style_numbering": false, "user_envs_cfg": false }, "toc": { "nav_menu": {}, "number_sections": true, "sideBar": true, "skip_h1_title": false, "toc_cell": true, "toc_position": { "height": "684px", "left": "0px", "right": "638.011px", "top": "185px", "width": "212px" }, "toc_section_display": true, "toc_window_display": false } }, "nbformat": 4, "nbformat_minor": 2 }
bsd-3-clause
dfm/emcee3
docs/user/parallel.ipynb
1
7701
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Parallelization\n", "===============\n", "\n", "**emcee** supports parallelization out of the box. The algorithmic details are given in [the paper](http://arxiv.org/abs/1202.3665) but the implementation is very simple. The parallelization is applied across the walkers in the ensemble at each step and it must therefore be synchronized after each iteration. This means that you will really only benefit from this feature when your probability function is relatively expensive to compute.\n", "\n", "The recommended method is to use [IPython's parallel feature](http://ipython.org/ipython-doc/dev/parallel/) but it's possible to use other \"mappers\" like the Python standard library's `multiprocessing.Pool`. The only requirement of the mapper is that it exposes a `map` method.\n", "\n", "\n", "Using multiprocessing\n", "---------------------\n", "\n", "As mentioned above, it's possible to parallelize your model using the standard library's `multiprocessing` package. Instead, I would recommend the `pools.InterruptiblePool` that is included with **emcee** because it is a simple thin wrapper around `multiprocessing.Pool` with support for a keyboard interrupt (`^C`)... you'll thank me later! If we wanted to use this pool, the final few lines from the example on the front page would become the following:" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import emcee3\n", "import numpy as np\n", "\n", "def log_prob(x):\n", " return -0.5 * np.sum(x ** 2)\n", "\n", "ndim, nwalkers = 10, 100\n", "with emcee3.pools.InterruptiblePool() as pool:\n", " ensemble = emcee3.Ensemble(log_prob, np.random.randn(nwalkers, ndim), pool=pool)\n", " sampler = emcee3.Sampler()\n", " sampler.run(ensemble, 1000)" ] }, { "cell_type": "raw", "metadata": {}, "source": [ ".. note:: Don't forget to close the pool! It is **your responsibility** as the\n", " user to close the pool. Otherwise, the Python processes that get\n", " initialized to run your code won't shut down until your main process\n", " exits. It's not enough to ``del`` the pool, you have to close it!" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Using MPI\n", "---------\n", "\n", "To distribute emcee3 across nodes on a cluster, you'll need to use MPI. This can be done with the `MPIPool` from [schwimmbad](https://github.com/adrn/schwimmbad). To use this, you'll need to install the dependency [mpi4py](http://mpi4py.readthedocs.io/). Otherwise, the code is almost the same as the multiprocessing example above – the main change is the definition of the pool:" ] }, { "cell_type": "raw", "metadata": {}, "source": [ ".. code-block:: python\n", "\n", " import sys\n", " import schwimmbad\n", "\n", " with schwimmbad.MPIPool() as pool:\n", " if not pool.is_master():\n", " pool.wait()\n", " sys.exit(0)\n", "\n", " ensemble = emcee3.Ensemble(log_prob, np.random.randn(nwalkers, ndim), pool=pool)\n", " sampler = emcee3.Sampler()\n", " sampler.run(ensemble, 1000)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The `if not pool.is_master()` block is crucial otherwise the code will hang at the end of execution. To run this code, you would execute something like the following: " ] }, { "cell_type": "raw", "metadata": {}, "source": [ ".. code-block:: bash\n", "\n", " mpiexec -np 16 name_of_file.py" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Using ipyparallel\n", "-----------------\n", "\n", "[ipyparallel](https://ipyparallel.readthedocs.io) is a\n", "flexible and powerful framework for running distributed computation in Python.\n", "It works on a single machine with multiple cores in the same way as it does on\n", "a huge compute cluster and in both cases it is very efficient!\n", "\n", "To use IPython parallel, make sure that you have a recent version of IPython\n", "installed ([ipyparallel docs](https://ipyparallel.readthedocs.io)) and start up the cluster\n", "by running:" ] }, { "cell_type": "raw", "metadata": {}, "source": [ ".. code-block:: bash\n", "\n", " ipcluster start --engines=MPI" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Then, run the following:" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "importing emcee3 on engine(s)\n", "importing numpy on engine(s)\n" ] } ], "source": [ "# Connect to the cluster.\n", "from ipyparallel import Client\n", "rc = Client()\n", "dv = rc.direct_view()\n", "\n", "# Run the imports on the cluster too.\n", "with dv.sync_imports():\n", " import emcee3\n", " import numpy\n", "\n", "# Define the model.\n", "def log_prob(x):\n", " return -0.5 * numpy.sum(x ** 2)\n", "\n", "# Distribute the model to the nodes of the cluster.\n", "dv.push(dict(log_prob=log_prob), block=True)\n", "\n", "# Set up the ensemble with the IPython \"DirectView\" as the pool.\n", "ndim, nwalkers = 10, 100\n", "ensemble = emcee3.Ensemble(log_prob, numpy.random.randn(nwalkers, ndim), pool=dv)\n", "\n", "# Run the sampler in the same way as usual.\n", "sampler = emcee3.Sampler()\n", "ensemble = sampler.run(ensemble, 1000)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "There is a significant overhead incurred when using any of these\n", "parallelization methods so for this simple example, the parallel version is\n", "actually *slower* but this effect will be quickly offset if your probability\n", "function is computationally expensive.\n", "\n", "One major benefit of using ipyparallel is that it can also be used\n", "identically on a cluster with MPI if you have a really big problem. The Python\n", "code would look identical and the only change that you would have to make is\n", "to start the cluster using:" ] }, { "cell_type": "raw", "metadata": {}, "source": [ ".. code-block:: bash\n", "\n", " ipcluster start --engines=MPI" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Take a look at [the documentation](http://ipython.org/ipython-doc/dev/parallel/) for more details of all of the features available in ipyparallel." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.5" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
whitead/numerical_stats
unit_7/hw_2018/Homework_7_Key.ipynb
1
111431
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Homework 7 Key\n", "====\n", "#### CHE 116: Numerical Methods and Statistics\n", "\n", "3/8/2018\n", "\n", "----" ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [], "source": [ "%matplotlib inline\n", "import random\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "import scipy\n", "import scipy.stats\n", "import seaborn as sns\n", "plt.style.use('seaborn-whitegrid')\n", "\n", "import pydataset" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1. Conceptual Questions (8 Points)\n", "===\n", "*Answer these in Markdown*\n", "\n", "1. [1 point] In problem 4 from HW 3 we discussed probabilities of having HIV and results of a test being positive. What was the sample space for this problem? \n", "2. [4 points] One of the notations in the answer key is a random variable $H$ which indicated if a person has HIV. Make a table showing this functions inputs and outputs for the sample space. [Making Markdown Tables](https://github.com/adam-p/markdown-here/wiki/Markdown-Cheatsheet#tables)\n", "3. [1 point] A probability density function is used for what types of probability distributions?\n", "4. [2 points] What is the probability of $t > 4$ in an exponential distribution with $\\lambda = 1$? Leave your answer in terms of an exponential." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 1.1\n", "First element is HIV and second is test\n", "$$\n", "\\{ (0,0), (1,0), (0,1), (1,1)\\}\n", "$$\n", "\n", "### 1.2\n", "|$x$|$H$|\n", "|---|---:|\n", "|(0,0)| 0|\n", "|(0,1)| 0|\n", "|(1,0)| 1|\n", "|(1,1)| 1|\n", "\n", "### 1.3\n", "Continuous\n", "\n", "### 1.4\n", "$$\n", "\\int_4^{\\infty} e^{-t} \\, dt = \\left. -e^{-t}\\right]_4^{\\infty} = 0 - - e^{-4} = e^{-4}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "2. The Nile (10 Points)\n", "===\n", "\n", "*Answer in Python*\n", "\n", "1. [4 points] Load the Nile dataset and convert to a numpy array. It contains measurements of the annual flow of the river Nile at Aswan. Make a scatter plot of the year vs flow rate. If you get an error when loading `pydataset` that says `No Module named 'pydataset'`, then execute this code in a new cell once: `!pip install pydataset`\n", "\n", "2. [2 points] Report the correlation coefficient between year and flow rate.\n", "\n", "2. [4 points] Create a histogram of the flow rates and show the median with a vertical line. Labels your axes and make a legend indicating what the vertical line is." ] }, { "cell_type": "code", "execution_count": 35, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYUAAAEDCAYAAADayhiNAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzsvXl8G/Wd//8aSSONTkvylVgOOQhxTA4wBEIglC7HJoV2\n65ajwCNZ2LL9dR+FLe03TTdQWmjZbmCz7FK6bNsFtrCwHLuUupACoWk4UkgoxM7tOAm55duWrFsa\nSfP7Y/QZjaQZXdbleJ6PB48HVmTpM7Lm8/68r9eb4jiOg4KCgoKCAgBVtRegoKCgoFA7KEZBQUFB\nQUFAMQoKCgoKCgKKUVBQUFBQEFCMgoKCgoKCgGIUFBQUFBQENOV88cOHD+Nb3/oW7rzzTqxZs0Z4\nfPv27fjbv/1b9PX1AQBef/11PPfcc1CpVPja176Gm266CSzLYsOGDejv74darcbGjRsxa9aslNff\ntWtXOZevoKCgcNZy8cUXSz5eNqMQCATw8MMPY8WKFSmPh8Nh/Od//icaGxuF5z355JN49dVXQdM0\nOjs7ce211+Ldd9+FxWLBY489hvfffx+PPfYYHn/88Yz3kbuwWqO3txft7e3VXkbFmY7XPR2vGZie\n1z1Vrznbgbps4SOtVounnnoKTU1NKY//8pe/xO233w6tVgsA2LNnD5YsWQKz2QyGYbBs2TJ0d3dj\nx44duO666wAAK1euVLwCBQUFhQpQNk9Bo9FAo0l9+ePHj+PQoUO49957sWnTJgDA6Ogo7Ha78JyG\nhgaMjIykPK5Wq6FSqRCJRARjQujt7S3XJZSUUCg0ZdZaSqbjdU/Hawam53Wfjddc1pxCOhs3bsQD\nDzyQ8li6ygbHcaAoSvbxdKaK6zZV3czJMh2vezpeMzA9r3uqXnNVwkfpDA0N4dixY/je976HW265\nBcPDw1izZg2am5sxOjoqPG94eBiNjY1obm7GyMgIAIBlWXAcB5qmK7VcBQUFhWlJxTyF5uZmbN26\nVfj56quvxgsvvIBQKIQHHngAHo8HarUa3d3duP/+++Hz+fD222/jyiuvxLvvvovly5dXaqkKCgoK\n05ayGYX9+/fj0UcfhdPphEajwZYtW/Dzn/8cVqs15XkMw2DdunW46667QFEU7r77bpjNZlx//fX4\n6KOPcNttt0Gr1eKRRx4p11JLTlePE5u29KHfHUSLVY/1q9rQxlR7VQoKCgq5KZtRWLx4MZ5//nnZ\nf9+2bZvw/6tXr8bq1atT/p30Jkw1unqcuO+1fQiyMQCA0x3Efa/twz2X2TEFQ48KCgrTDKWjucRs\n2tInGARCkI3huW5XlVakoKCgkD+KUSgx/e6g5OMj/miFV6KgoKBQOIpRKDEtVr3k443Gilb/Kigo\nKBSFYhRKzPpVbWDo1I9VT6txx0W2Kq1IQUFBIX+U42uJ6exwYMwfxsOb+S7HFiuD769aiDbGU+WV\nKSgoKORGMQpl4MJZybLbt7/zOVgYGr29ilFQUFCofZTwURkY8oSF//eGlASzgoLC1EExCmVgyBMS\n/t8TZKu4EgUFBYXCUIxCGRB7CopRUFBQmEooRqEMDIs9BSV8pKCgMIVQjEIZGPKG0GDi5z4onoKC\ngsJUQjEKZWDIE8b8JhMAwBNSjIKCgsLUQTEKZWDIExKMglJ9pKCgMJVQjEKJCUSi8IaiaLHqYdCq\nlfCRgoLClEIxCiVmOFF51GxmYGFoJXykoKAwpVCMQokhPQrNFgYWvQaeoBI+UlBQmDooRqHEDHkT\nnoJFp3gKCgoKUw7FKJQY0qPQZGFg0dNKollBQWFKoRiFEjPkCYGhVbAwGpgZjeIpKCgoTCkUo1Bi\nhjxhNFsYUBTFh4+U6iMFBYUphGIUSsyQJ4RmMwMAfKI5FAXHcVVelYKCgkJ+KEahxAx7w2iy6AAA\nFoZGLM4hEIlVeVUKCgoK+aEM2Skxw54Qrl7YBACw6GkAU1PqoqvHiU1b+tDvDqLFqsf6VW3o7HBU\ne1kKCgplRjEKJcQXjsIfiaFZ5CkAU0/qoqvHifte24cgy3s4TncQ9722DwAUw6CgcJajGIUSIm5c\nAwAzw3+8niALY9VWlT/EO3C6gxn/FmRj2LSlTzEKCgpnOYpRKCHEKDQJieZk+KjWjUK6dyBFv4Sx\nUFBQOLtQEs0lRNA9EsJHxFOo/fDRpi19WQ0CALRY9RVajYKCQrVQjEIJGRJ1MwNTK9GcywtgaBXW\nr2qr0GoUFBSqhWIUSkRXjxNPbDsCAFj1bx+gq8eZklOodXJ5AV9c2qLkExQUpgGKUSgBJB7vD6dW\n67y1bxA6jWpKVB+tX9UGhk79OuhpNf7tlguwoNmEE6P+Kq1MQUGhkihGoQRIxeNJtY5FPzWUUjs7\nHLj3mvOEnx1WPTZ+dQm+clErzmsy4dOTLszd8Htc8cg2dPU4q7hSBQWFcqJUH5UAuXh8vzuIeY3G\nRKKZruyiimBeIz9C9PV7rsDSVisA3gva2jsMAOCg9CwoKJztKEZBhkI6elusesna/harXuQp1E7l\njty1kRDR7PpkAe2mLX0IR+Mpv6/0LCgonL0o4SMJSI7A6Q6mnI7lwibrV7VBT6tTHtPTaqxf1VZz\nSqnZru3EWAB2oxZ1+qRXk80LUlBQOPsoq1E4fPgwrr32WrzwwgsAgIGBAdx5551Ys2YN7rzzToyM\njAAAXn/9ddx44424+eab8eqrrwIAWJbFunXrcNttt2HNmjU4ffp0OZeaQrYcgRSdHQ5s/OoSUImf\nSTy+s8OR8BRqJ9Gc7dpOjvkxp96Q8m9yVUlKz4KCwtlJ2YxCIBDAww8/jBUrVgiPPf7447jlllvw\nwgsv4LrrrsOvf/1rBAIBPPnkk3j22Wfx/PPP4+mnn4bb7cbmzZthsVjw0ksv4Rvf+AYee+yxci01\ng2JOx50dDmg1Knzzqnn4cMPVQmjFzGjgraFEc7ZrOzHqx5z61N7rbF6QgoLC2UfZjIJWq8VTTz2F\npqYm4bEHH3wQq1atAgDYbDa43W7s2bMHS5YsgdlsBsMwWLZsGbq7u7Fjxw5cd911AICVK1di165d\n5VpqBsWcjjmOQzgah06TuoHy4aPamakgdw0z6xj0T4RS8glA0gtqMGkBAPVGreAFKSgonH2UzSho\nNBowDJPymMFggFqtRiwWw4svvogvfelLGB0dhd1uF57T0NCAkZGRlMfVajVUKhUikUi5lpvC+lVt\n0Goya/aznY5JMja91t+i1yASiyMSqw2jINePsHbFbADAnAZDxu90djjwyjd5j++HXzxfMQgKCmcx\nFa8+isVi+P73v4/LLrsMK1aswOuvv57y7xzHgaKojJM1eTyd3t7ekq+xjQG+uMCE1w56AAB1OhX+\nv0vtaGM86O31SP6ON9G4NjE2it7eZLgo4OafP+YNlmWthdLGAF9bbMFzPW4AgJFW4VuX2aEL8z9z\n3mHJa5wI8dd36Php9DLSn4EUoVCoJq67kkzHawam53WfjddccaNw3333Yfbs2bjnnnsAAM3NzXjv\nvfeEfx8eHsaFF16I5uZmjIyMYOHChWBZFhzHgaYza/3b29vLss7PhZx47eBuAMD1Fzhw9w1Lsz6f\n1z06idmtLWhvP0d4/EikH9g5iihFl3StkxmCsyI2iOd6dkFPq3HhbCvuvuFSPPXBMQBD+IuLF6PO\nkPk5x+IcqP89Ca3Zjvb2BXmvs7e3t2x/o1plOl4zMD2ve6pec7ZwfEVLUl9//XXQNI1vf/vbwmMX\nXHAB9u3bB4/HA7/fj+7ubixbtgxXXHEF3n77bQDAu+++i+XLl1dyqfCG+Yqhi2fb8F7fSM6cQChR\n0aNLCzsR/SM/G8/4nWIptGQ2nTOuAABg1aJmdJ90g43FcXzMD5uBljQIAKBWUbAwNNyByoTwFBQU\nqkPZPIX9+/fj0UcfhdPphEajwZYtWzA2NgadToe1a9cCAM4991w89NBDWLduHe666y5QFIW7774b\nZrMZ119/PT766CPcdttt0Gq1eOSRR8q1VElIxdCXls7EQ28cxJFhHxY0m2WfH2JJTiEz0QwA/kjp\njEK2stJ8vIXT4wGYdRpce34zunb342C/ByfH/BlJ5nRsBhquQO1UUikoTBWm0njbshmFxYsX4/nn\nn8/ruatXr8bq1atTHlOr1di4cWM5lpYX3lAUGhWFVYtn4KE3DuK9vuGsRiEc5Tfp9CRunZ7/iH0l\nNAqTbSg77Qqi1W7ApXP4RP4nJ8ZxYjSAS+fas/6e1aBVPAUFhQKZauNtp7XMRTbr7QtFYWI0mFmn\nx0yLDo+9cxgb3zyEOj0NigLcATbldyrpKWST1ciH0+MBzG0wosnCYHa9AX86Oor+iSBm12dWHomx\nG7UY9oaKWrOCwnRlsp59pZm2Mhe54vLeEAszo0FXjxMjvgjC0Tg4AO4gC1eAzfgduZwCGbRTSqOw\nflUbtOrUSqx8G8o4jsMZVxCz7LwBWDbbju1HRsFxyGhcS8dqoOHyK+EjBYVCmGpSMdPWKOSSsvCG\nojDraGza0odoXD7JTH6HGIV0T0GnUUGrVsEXyT7qshA6Oxz46kWtws9iWY1cjPoiCLIxzLLxXoVG\nzVcWAcBPf9+bNVltM2jhUsJHCgoFMdWkYqatUchlvb2hKMyMJi9r3u8OyjavURQFM6MpqacAAOcm\nZK7TZTVycWqcrzyaZTegq8eJrp5+4d9GfOGsVUw2A41AJCbkTxQUFHIz1aRipq1RyGW9vWHeKORj\nzVuselH4KPWP39XjhDvI4s3D3pIOqCGDe8JZSl27epy44pFtKcNxSDnqLLshqyy2FFYDL3XhViqQ\nFBTyhkjFGLT83lDrUjHT1iisX9WWEf8XW28+p0BLWnmp3wklNledyFMgeQsSnim0nyAbEwk57qBM\nWEouZ7Jl/yAAYJbNUHCs05YwCkoISUGhMDo7HLh6Ia8D9+MvL6pZgwBMY6PQ2eHAX7Q1Cj+bGU2K\n9SbhI2LlHVY9KABWPQ1VIscrjuWHJXIKhUpwFwKZ0RCSCeXIvfd7h0fQYNJBr1UXHOu0GfmkuZJs\nVlAoHF+iIdZXQ1L6UkzbklSO49A35MNl8+zYd2YCN188SzAIHMfBF47CpOM/ns4OR4pl//KTH6JO\nT+O/v36p8JiQUxCFj8pZdZDLU5B7j0AkhrYZfL/F+lVtKfXTQPZYp00IHymegoJCofiJUQjXtlGY\ntp7C3jMTOD7qR+eFDr4pK5jc6IJsDLE4BzMjLfmgp1UIpW3GITYGigJoUaloOasOyOCeUFQ6pyD3\nHmoVhVk2vhw13QvKVcVEjMK4YhQUFArGm7hnvYqnUFuQhjXS/BUHB6uBTkmekj8a0S1Kx6DVZDRx\nhdgYGI06Rcm10JN4IRBPId04id97w2t7haY6gK+MCrNxzLInDUa6F5QNa0IXabokmqeSNIFC7eOP\nKJ5CzSFOvhIefqMXkWgsJSRCdI/kjIKeVmeEbcLReEY5KjmJk8202aIrWdVBrpxCZ4cD3732POFn\nRqPC+lVt4ADBUygUhlZDT6vh8p/9nsJkRQcVFNIhuYRazylMK6Mgl3w94woW5CnotZlGIcTGMhrX\nAH5z/tmtHQCAf7/9IkmDIFU6motcOQUA6DiH1zJqn2lBNM5hhoX3EEg3czFMF1G8chYJKExP/ImZ\nK4qnUEPIJV+DbBzuoJRRkMspqDM2jBAbzyhxJTSZdQCAYU8449+KOZGG2JiQ2E5fh5jxxIn+b66Y\ng2icw68++AxA8Z4CMH1E8aaaNIFCbROOxhCJ8fesVzEKtYNc8tWs08AdiCCe6CfInVNQI5CnpwCI\njIKEmFwxJ1LSuEZRSMkZpEP6Ca6Y34Bmsw57z0wAAG59akfRYRC7MSl1UYyHM1WQ+65wwFl3rQrl\nh3gJAOAL1banPa2Mgly7+TXtTYhzSQvuC5OcgrSnwNBqhKNxwYgAfE5BJ2MUbAYt1BQw7M30FIo5\nkZJ8Qr1RJ3RSS0E8hQ+PjGJMlAfod4eKjo+TpPzZHnOXmmVNONuuVaH8iPMISviohpArwVx5Ht/E\nRsIixFMgfQrpkHZ18Qmfrz6S/jhVKgp2g1oyfFRM2epEkF9fsyW7UXD5I9DTavzsj0cyRP2KjY8T\nUbyzPebe2eHAP6xeKPvvZ9O1KpQfYgjMjKbmE83TriRVqgRz68EhAHyp5ez6ZA+AnFHQi4yCMfGc\nUDSOOr20ZwEAdn1mGStQXNkq8RSaLQwO9HvAxuKg1ZkGaTwQgd2oLWl83Gag4Q6ysmWpZ1PM/aoF\njVn//Wy6VoXyQozCzDoGA+7ankkyrTwFOUjJqEvwFFiYdBqoVZTk80kISlz5E87iKQCAXS/tKRDv\nhSSp0+U2pCA5hWYLn6uQ8xZc/ghsRrqkTXRWgxYcB8yoY0r2mrUKydfYjdLG/my6VoXyQrqZmy0M\nfJFoSui51lCMApLqn6TM05fQPZJDLxE+4vsU5IXzbHq17NSyzg4H5iWksFctmpGzj4Gss8nMZKxD\nzHiAhc2gLal0L9E/+psr5kwpOeBiIBLhN13cetZfq0J58Yo8BY4DAlnCvtVGMQoQeQr+ZE5BLnQE\nJHMK4gqkEBuTLUkFALtBA1eARURGlmLcz3sRTlfukIQ4fATIy2e7/Hz4qFA5i2wQA3rxbDt+/FeL\nhMftBrqm5YCLgXgKf9HWnOLNTebzU5ieEE9hRuKereW8wrTLKUhhTeQCSK+CN8xm9RQYifBRtpJU\ngA8fAfwgG0da2IHjOKFS6Iw7kHO9E0EWelotrFHOU3D5I4JeUSFyFtmwi0Txzm1Kju/8wQ3nn3Wb\nJOkW19EqdHY48Oa+AZwaD+Dt73yuyitTmGoQIzCjjr/3+QpH6RBstVE8BQAatSrRqyAOH8knjQ1a\nshknrb2UzIUYYhSGPZkhJG84CjbGgaFVGHCHhPkLcniCUVj0GiGkIZVTiETj8IajsBu1WV+rUJIz\nFVih7wGobXe4WIgHRpRvTYym5sXMFGoTXzhZMQjUtiieYhQSWI10Sklq1pyC4CnwmwbHcbk9BQP/\nelK9CuM+/n3PT8hRyOUeCBNBFnV6OpnbkJC6INdiK7FRsBqJKF4E+85MJL2VSO1+yYuF5BSIsTfp\nNDVfY65Qm/jCURi1algSUYla/h4pRiGBVa8VNH08OYxCMqfA/2HZGIc4h+w5BeIpSBmFxAa+tNUK\nADiTI6/gCbGwMLSwWUmFj8hrknBPqTDrNNCoKIz7I9jrnMAlc3h9pfQO77MBYcRqwtibdBr4w1Fw\nXO1WjijUJv5wFEadRshVKjmFKYA1UX8PJEdxysGkhW1CwolS3lOwMmpQFDAiET4insLS1joAfLL5\nkjnya50IsphhYUTryEw0kxyFTaacslgoioLVQOOMK4jPRnz40tIWfPTZaFWNQrkkrkNC+Ig3vkad\nBtE4l7PSTKF2qZYcujcchYnRCIfNWtY/UjyFBFaDFhOBCCLROMLROMwFVB+R2LOczAXAD7epN+qk\nPYXEBr7EwRuFM67syWZPiIVFT2cYJzFkZGapcwoA/1l99NkoOI43ZAatRvCaKk055TbCacae3NC1\n7PoryFNNaRZfoqLRrKOFn2uVnEbB5/Phl7/8JX76058CAHbu3AmPx1P2hVUaIgktbkeXQ6g+Ip4C\nmc+cJXwE8EkmKaNAdIkcNj3qjdqUeQ9STAQSOYUsRqFc4SPymqMJ72axow56OlMgsFKUU26DeAok\nLGjU1pbrf7YJEpb7eqopzeJPjPc16vh7tpYPFjmNwoYNG2CxWLBv3z4AwPj4ONatW1f2hVUaq56G\nJ8QKjWGmLOEjtYqCVqMSErzhaGrsWY4ms04yiTzuD0OnUUFPq+Gw6bPmFOJxDt5wNMVTkMopkJ4L\naxmMAunraKlj0GjWwSAxX6JSlFPiOsTGoFFR0CQkREw15CmcbYKElbieasqh+xI5BY2av8+9NayU\nmtMo+P1+3H777aBpfiO4/vrrEQrVtnZHMRD5BtI8ls1TAPgQUtJTSI09y9FkZjAkIXUx7mdRb9SC\noii02vRZG9i84Sg4DrAw4pJU6ZyCWaeBNseaCqWrx4k/HR3l3yMQQVePU1JKvFKUcw52eu6AhBRr\nwSicbYKElbiecn5XcuELR4Xvj4mp7Sq2nDtGPB7HqVOnhNnDH3zwAeJxeQ3/qQo5/Z5OxPNzGQXx\nSM702LMcTRYdxnzhjD6EcX8YdhN/ondY9fxpSabChXQz1+lpIawh6SkEIiUvRyWnOWIAQmwc9722\nD/5wtGqewvf+cgGoNImqUklQpHepG2uocuRsGwJUietZv6oNtDr1y1IpuRLiKQD84aKW+xRyVh/9\n6Ec/wo9+9CPs378fK1euRFtbGx5++OFKrK2ikKas0+MJo6DLXrWj16qFhq302LMcTWYd4hww5guj\nyZLsZhz3R2A38k0trTYDwtE4RnxhfHR0LKNSYn4Tr5Fk0dNQqSjoNCqEpXIK/tIbhWzjTHOFzkoN\nqSIh+RfirehpdckkKEJsqqdAwkf+GujJaEkcHqQen4pU4no6Oxz48LNR/N+nZwDwB7BSVB/lqmji\nOI7PKTBTw1PIaRROnTqFZ599NuWxzZs3Y968eeVaU1WoEzyF/MJHelqNUCQt0ZxjY2xMCNgNe1ON\nwpg/IgjiEQmMFz8+hV+9f0zYhEmM9W+umMOvN9EEo9dmjgYFeE+h0aTLup5CkTu1haLxinoKxGMR\nX3ec49DWbIJGrSpZiWE4GoNO1KVOasxr4ZS3flUb/uE3e4WxrMDUFukrRkK+GOY28NIs5zWZ8If/\nd9WkX2/bMS/+fefJjPsUgPA9DEfjYGOc8P0x6Wp7poLszrd3717s27cP//3f/43+/n7hcZZl8V//\n9V/44he/WJEFVooMTyGPnIJQkpq4MfMJHwFkLGed8Pi4SKPIYeONwnMfnZA8lb/8ySkAgCWRCGc0\n0klel5/FgmZz1vUUitxprtI5BSmPJcTG4XQHEYlxiMbiQnJ4MoTYuCBxASSNgr8GTnmdHQ6cGPPj\n8a1HAJTu1FstyLq/88puAECLlcH3Vy0s+fWQQpLBidLkRZ/rdsnmQsjayfdFbBRO+XNrnFUL2Tun\nsbERBoMBLMvC5XIJ//n9fjz66KOVXGNFIKJ4xCiYchgFhlZnlqRm0T4CRLOaRcnmEBtDIBJDvSnV\nKJDu6nTGE/0HxLPRa9UISSivjvsjJS9HlZPgvni2raJ9CnIeiy8cQyQax4mx0txw6Z6CQcs3INaK\n699xjg0AcPXCJny44eqyGoRKlL9ev2Rm8v3uvqIs1+MRRC+jJfk7jvilX0P8HfWlG4Ua19CS3flm\nzpyJr3zlK7jqqqtgt9uFx1mWxY9//GOsWLGiIgusFBY9DYriQzlajQo6TfZTv0GbHJqTzCnkCh8R\nTyFpFEjjGmkyszA0LIwGsTgHv8Tp28Jo4AlFYUkYLZ2oNJYQjMQQZGMlzymQmzQ9fto35MXOY2Ml\nfa9syHksjWYdRrxh9A16hdzLZOBHrCb/phRFwaStnRualDWW20ilh+ukQiSlQFymOe6PCPNCSgnx\nFADeW5js96TRqMGwhGEQ50LI30ecaK6Vg4UUOX3sbdu24corr8TixYtx8cUX45JLLoHP58vrxQ8f\nPoxrr70WL7zwAgBgYGAAa9euxe233457770XkQi/Ib7++uu48cYbcfPNN+PVV18FwBufdevW4bbb\nbsOaNWtw+vTpYq8xL9QqSgjJWHJ4CUCi+qhAT0GnUcNqoFN6FdKNAsAnm8+xG6BRZVZKLJ9rh4pK\nnjr0WrVQ/UQgE+TK0c3c2eHAhxuuxvFHbhBOpwZaDTbGyc6KKDVyHsv3V7VBRQF9g6VprpRSvjUx\nmpoIHwHJ3Ea511Op8lexsSX3RalxB1hhomIpQkh3XGTLOYCJ5A9ISNrM0PDVsIZWTqPw8ssvY+vW\nrejo6MCuXbvw2GOPoaOjI+cLBwIBPPzwwykexRNPPIHbb78dL774IhwOB1599VUEAgE8+eSTePbZ\nZ/H888/j6aefhtvtxubNm2GxWPDSSy/hG9/4Bh577LHJXWke2BIhmWy6RwS9VlNwTqGrxwlfKIoX\ndp4SXPCxNKPQ1ePEsREfege9iMY5aBMldBSAn3YuxkyrPuHV8I9L5RQE3aMyNK5JYdARpdTK5BXS\nR5iSoTc3L5uFOQ1GHBr0luR9+JLU1L+psYZOeeRkXW6jUKnyV7FRIDItpWYiyGJOvQEAMCihQ1Yo\nV88zY+NXlwiGpsGkzah+I9VqRlH4KBbnJPuLaoGcRkGn00Gn04FlWcTjcVxzzTXYunVrzhfWarV4\n6qmn0NTUJDz28ccf45prrgEAXHPNNdixYwf27NmDJUuWwGw2g2EYLFu2DN3d3dixYweuu+46AMDK\nlSuxa9euYq8xb+oSm2iuJDOQqD5K8xSylaRuO+bFfa/tQzTRo0Bc8HcODgDgjQJx08U5AoqisPay\nc8ABWDDDLMhmC+vQqgVBPkI5PQUpBC0otnKbZWeHAxe0WnHZPHtKPH3hDDP6hkplFCQ8hZoyCvw6\nfOHyGuNKNX2lhI8C5fEUJoIs2mbwBRiDE6Uxap0dDmHP2PCF9oyQGvk7iRPNAD/MqxbJufstWbIE\nL7zwAlauXIk77rgDM2bMyKujWaPRQKNJfflgMAitlt+oGhsbMTIygtHR0ZScRUNDQ8bjarUaKpUK\nkUhE+H1Cb29v7qvMEzrOx/qpaDjn6wY8LgQiURw8eBDOoXHQKgqHDh2Sff6zu8YlXfDfdfMJuzHn\nCfzTZmfGc8LRON7cwz9n88e96B8JQIuYsD426MeEL5Ky3v3H+PCea/A0ekND+Vz6pHCN8O+3v/cw\n3HWpf59QKFTSv5GYMY8P9QZNyuvb1WGcGgugZ++BnOG8XPiDYYT83pTXp6JhjLiDWa+pnNcs5mQ/\n31nuDUUm9X7bjnnxXLcLI/4oGo0a3HGRDVfPS1au3b7EhCc+CiEcS4Y7dGoKty8xpbzvZK/74Em/\n8P+HTzjRW1f6Ch2XLwwNG4BFp0LvyUH09vIbdq7PQI5QKIQDBw8KCezdh09hkSH1UHL0JB/OHDh1\nHOyYBp60ae1nAAAgAElEQVQx/n7Zc6APrXWVObgVQk6jsGHDBmEzXr58OVwuFy6//PKi3owStZ6S\neFp6XI3jOFAUJft4Ou3t7UWtRYrWPSF86gxiRn1dztedNXgU8X1unLugDaajh6DX+rP+zmjgmOTj\nvkgcahWFZUsXYeTlk5LPGQ/G4LDqcTqoRUwdQ7NNI7xX474wjk2Mp7z3x+PHAQxj2ZKFqC9xr4IU\np+ODwPZhzJw1B+2OupR/6+3tLenfSAz3+yE02i0pr78yNoAXdrugsjnQPss6qdeP4jSaG+wpr9/8\naQDHRn1Zr6mc1yxGs283AA/CUQ4L2hYKIYxC6OpxptTZD/uj+Ped43C0JMe3trcDjpZkstmqp/HQ\nXy3KOBFP9rr3+U8D4A8xakPue7BQYnEOfvYY5jqacWQCCKsYtLe35/UZyNHb24uWOfMR544DAEIa\nY8a6t498BmAUHUvaYdJp0I8hYPswmhyzJ/0dLZZskRfZoxTHcXj99dfx85//HNu3bwcAXHLJJbjq\nqqvw1FNPFbUQvV4veBlDQ0NoampCc3MzRkdHhecMDw+jsbERzc3NGBkZAcAnnTmOE/SXyoVVCB/l\nkVMQzWkOR7NPXQP4KgUpDFo1bAYtVCoqq5t+yRwb/nxiPDN8JApjEcYDLCgKKc8rJ2Q8aaX1jwKR\nGAxpn3vbDAsAoK8EeQWpaXompnYaj8TrKLbLOt8kcmeHA8vm8CWw91w9vyzloiTMUqeny5JoFkvE\nzLDohJxCvp+BXFnuhKh8XEr2noT3yHfVVEMaWlLIGoUHH3wQO3bsQENDA1566SU8/fTT2Lp1K778\n5S8X/WaXX345tmzZAgB45513cOWVV+KCCy7Avn374PF44Pf70d3djWXLluGKK67A22+/DQB49913\nsXz58qLfN1+sQqI5j5yCNqlQGmLjKfXsUshVKcxrMMKeGIQjV1WzflUbls2xY8QbxsmxACz65PrE\n/RIElz+COj1dkgaufNCnTaKrFIFIUk+G0HPSBQD4/m/2TqqenuP4YTrp8h0mnaZmBqSIE7PFJpsL\nSSK7RONqywHJKcyuNwjvVUomxEahTi9UH+XzGcipuG475oU7yK/VrNNIKhyTWQqqhCdHeqBqpbQ5\nHdnd7/Dhw3j55ZcBADfddBNWrlyJyy67DE8//TRaW1tzvvD+/fvx6KOPwul0QqPRYMuWLfiXf/kX\nbNiwAa+88gpaWlrQ2dkJmqaxbt063HXXXaAoCnfffTfMZjOuv/56fPTRR7jtttug1WrxyCOPlO6q\nZSDVOtkG7BDEg3bS69mluHqeGY4Wh6DXQ6spbPzqEryw86TwJZHrA+jscOBwInkajXPCnFcg6SmI\nw2vjgdI3rmWDaMRX2lMIsjHBIAH8jfuDrv3Cz5Opp09WlGUmmslITqlwZiURJyqLNQqFaA6RiiBP\nmWSfvSF+jnGjSVeSyqB0UoyChcGoL4JwNJbXZyDnTTzX7cLSBfzrnt9iwacnXRkd9fwoTrHabm3P\naZbd/cShGpqmsWDBAvzsZz/L+4UXL16M559/PuPxX//61xmPrV69GqtXr055TK1WY+PGjXm/Xyk4\nOsxvvE9sO4rfdDuzygYwovCRVJhBis4OPkb5r+/04d/fPYprz2/GE9uOoD0R8hA/J535jSboaRWC\nbBy/ev8YNu8ZwPpVbWBoFeIcEInFhfJJVxnE8LJhoCsfPmJjvJ6MOHyULQxQsFGQaUg06jSIc/zr\nkrBZtfAmmhg9oWjRFUjrV7Vh/at7wIqSyHKaQ+X2FDxBfgyuzahF70DpB3kJRsFAY2ZdQofME8b6\nVW1Y9397UtSL0z8DOW9ixB+FOxE+Wuyow8fHxzHoCaHVZhCe40sM2CEIczlqdKaCbHwh/RRU7VNR\nuenqceKVT84IP+ca8mEQhY+kmpyycclcO+Ic0HPKlVBIzb2Bv76nP0X8jKzv6DBfyUBqnrt6nPjz\n8XHsOumq2DQuIZRWwfARMUBiT6GU9fTJuduZzWtAbZzyvKEoZtbxp9liPYXODgdm1DFCo6SF0Uiq\nzIajMeEzL9eAGG8oCjOjgd2oxZg/UvLmrtTwEW8UBj0h/jOwJAsySN+L+DOQy/c1GjXCbPfFDv5w\nlx5CyjAKNZ5TkD3q7N+/HzfddBMAPr56/Phx3HTTTYLbTDqPzxY2belDJJbaTJLtlKlP8xQKOTV2\nnGODigJ2HhuDO8DmdarftKUPaWMYEGRjeLePT8aH2BjePTQs2QsBlFaOIJ30mdWVgDTKiT/3Usov\nh4XBSek5hcQ4xVAUTaXVGywIjuPgDbFY7KhD35C36A3m+Kgfp8eDuO8LC/HcRydw2bx6ye+KW5RM\nLVtOIczCzGhgM2gRjsZL7o0Ro2AVhV8HJ0IIsTFh+NW8BiO2fe/zGb+7flUbvvd/e4R7C+D3gDsu\nsmEi4UEtaiEz1iWMgihPycvoqGomN5WO7Cf+xhtvVHIdVafQU6Y+JacQh92Yv6dg0mmwqKUO7xzg\ny+/q8zAKcusgX/RQwoCVKnxSCMRAVtIokKS2QeQplFJ+OSSMWE3PKfAbir/MDWO5IHLM5IRbrKfw\nu91OUBTwVxe24MPPxnBkWFrCRlwNVM6cgs2gFQovxv2RshgFi54Gk/jeDE6EcKDfg2icQ7NFJ5vg\n7uxw4BfvHUXfEP/5EBXXNsaD146x0NNqzE50SqdXIPnDUdQbDSmPmWuoii0d2U/c4ZiaErzFUugp\nMzkKM5ZQ0yxsyMyyOTb8+sMTAPLrPJZbn91AYzzAIsjGqjaNS6WiwNAqybkO5UIqfEQM38a3ejHk\nCcvW0+eDoGeV4SnURjcq2ZhnFBk+4gfDHILTHYJWo8LHx8Yxv9GEl46fQjzOCZUyBLJZNlt0Zc0p\nzK43CgOnXH4WrbbSvf5EkIVOowJDq6HTqGDQqjEwEcLeM24AwFULGvHqrjOS1x9iYzg+FoA5oXD6\n229dgWYLg95eD9wBFlYDDZ1GjWaLLsNT8IaiGarLtdQZn05lahanANnKQaUQ1+an6+7nw6Vzkl3c\n+XgKcuu78WK+EizExqs6g9agLVwobjJyzAEhfJT6mXR2OPDB9/8CAPD1lXOL9pDk9KyEeHCVT3lk\nYyYJ00ISzcnySr7CJxLlx6r6wvzhQurwQcJH59gNZSxJJTmFhKdQ4rLUiUCyx4eiKMyoYzDkCWHP\naTeaLTosnGFBnJP2hP58fByRaBxfXMrLe4+IlI7dot6hVpsh01OIpOYUgNrqd0knp1EYGBioxDqq\nDhFZc1j1oCCdbBIj5BQET6Ew+zriS36pvvPK7pwbotz6/mIhry0VjMSwflUbtGn6S5WaxmXQSg/7\nkUOu7jtfwyAVPiLoNGrYDDSGJlHWKOhZySSaqz2Sk2zMjSYdVFRhnoJcmHHboWEAEIoXxJDw0Sy7\nAd4QWxaFT2IUSGn4uD+c4zcKI73xc2Ydg4GJIPaemcAFrVbYRGGrdLYfGYFWrRJmPoyJnjOR8BQA\noNWmT/EUOI4T+hTEmGp4TnPOgN0DDzyA8fFxLFq0CJdeeimWL1+O5ubmSqyt4siVg0ohrrgp1FPo\n6nFi45tJnaRhbzivhLDU+rpP8c1aoWgMnR0O7D7twrMfnQQFSM6LLReFTl+bbP6DGCA9Lf0VbrYw\nQvKwGEIyiWajKNFcTUgFkEVPw6jVFGSk5MKJYz5+ozsy7BUOGwR34tR+jt0ANsYrfOolDHKxhNgY\nIrE4LAwthFPHS6yUmm4Umi0M/tg7jIkgixsvbhUUDaQGXG0/MopL5towK1FqOiryFCaCLOY08I+3\n2vTYvHdA6FUIR+OIxrmMJkuTjpb0yGqBnEbhmWeeAcdx6OvrQ3d3N+6//344nU6h23i6QqspqFWU\n4CkUUpJayoSwkNtIbJJk1vPHP7imLENKZNeh1SBQQE5hsvkPYoDETUFieKMweU8h/e+abDyqbqKZ\nnDLNjAZGXWGhu2z5s0gsjiNDmZ6CK8DCqFWjIaGl5Q2xJTUK5HosjAYWhoZaRcFVYqmLiSCLFmvy\nnphZxwjJ5wtarYIX6BaFrbp6nHjkrUMY9IRgYTTYeYyX5Bn1icNHEVj1vIZRq82AWJwTehVI3iBd\nJcHMaOCbqiqpBw4cwO7du7Fnzx54PB60tLRkNJpNRyiKgoFWwxeKgo1xeTWvEUqZEGZEYSwAGPVF\nQFGoaEczwOu6FNKnMNnyUWKA5DamGRZmUg1QcjkFhlZBraKqfkP7UoyCuqBqqPWr2rDhtb0pev4k\nzPi/n57G0REJo+CPwGrQCpubJxRFkyXjaUVD4vhmhoZKRcFmoEufUwiyWDgzWUc8JBqys/7VPfjb\nlXMBJD2F9IlznlAUP37jINRUavjInRY+Aviy1FabQfg7GbUSiWYJb5MvAMhUNKgkOY3C2rVrsWTJ\nEqxduxaXX345DAZDrl+ZNjBatfAFyjZLIZ1S1tMnq6D4G3zUF4bdoK2Y7hHBoFVj0JP/Rrl+VRv+\n4Td7UxrypPIfcjdJUMgpyIWPdBj1hTMkB/JFbkYGRVEwagvbhMuBeBMttJKls8OB/okg/vltXvDN\nIfpcu0+58NtuZ4aMhysQgc1IC9MJS93AJvZ8AF5yphyeAgkfdfU48fqeZL50YCKETe/wnwd5X2mP\nPg41RQnho3A0jnA0LsxMJ53MzkReQZjPnF59xGiE6Wvkc67U2NNc5DQKn3zyCQ4ePIju7m788Ic/\nhNfrhcPhwIMPPliJ9dU0elotlOoV4imUsp6ehDcET8EbFlz8SqIvMKfQ2eHAwEQQjyY2ppl1DP5h\n9cKUL3+2m0QoSZX53JssDOIc7zmR7tVCSCaaM1/fzNBVTxKKB7cUGj4C+CYtAHjjnpVY0pqUOz+v\nyQRvOIohTzjlc3MFWNhEnkKpr98rMnIAYEt0NZeKaCwOXzgqGAWpZlVysCL3tJznHuM4oVDEF+F/\nh7wuCU+dSTMKYj21rh4n/mfnSbAxDlc8sg3fT3zvq9VnlE7OI5RKpYJWqwXDMNBqtWBZFl5vaSZb\nTXUMWrVQqldITqHQSqdsMKJ+CYD3FBrMlR/cwSeaC9soLhGV5T59x7KM6892kwQjMeg0KtkZAjMs\n/M1ZbF5BThAP4PMY1Q4feRMVLWoVVdSI0OOjfNkkSZAS5ifatI8Mp97jrkAENoNWEGMsdQNbuqdg\nL7Gn4BHJcgPZQ7XE+5fz3BmNCqOJpLw3zH9PrHr+nntr3yBUFPBvWw/jike2YWsv36BKEs3koEPW\n0z8REqruqtVnlE5OT+H666/H4sWLcemll+Kb3/wm5syZU4FlTQ0YWi0knNKF03JRSKVTNnQaFShK\nbBQi6Din8oM7DKKZ1fkiPm2eHg8IMgGEbDdJIBKTLEclNE/WKLAxUBSglQg98Uqp1U40s8IGatIV\nVn0EACfH/GgwaTNmh5zXzBcqHBny4crzGoXHXf4IbAa6bJ6CR9RtDAB2kxafniydURDrHgHyIVyN\nKMG9flUbNvxmb8p4XD2txgWtdTg2yk+J8ya+B1YDLWz4RAnD6Q7i6e388J1v/PenuP/69qwHnVKG\nlSdDzuPt7373O6xYsQJ9fX146aWX8MYbbyAer82B05XGoFULX6DJjn4sFoqiwGjUKZ5CvbHy4aNC\n+xQApGi/nBrPHE6SrRnPH4lmlUBoTsg/FGsUQtF4wuBmeiLGGpipQGr6+fUUnuM4PurHnHpjxuPb\nD49ARQE/2XxQaCiMxuLwhKKwGZNGpNw5BbtBC1eARTxd8KtISEURSQjLNYOeY0/OcujscODvPn+u\n8O/Eo794jg1j/gjicQ5eUfhIasMnkLJzuTLUfncQ61e1gVanft8q1WckJudO9oMf/AAHDx7ERRdd\nhKVLl+LTTz/FD3/4w0qsrebR02r4I/Kx54qtQ8sP2glEoghEYlULH0XjHCLR/A8MZGOhKGmjkK3L\nPBiJZS2JrDfpoFZRRfcqhLPIoZuZwmP4pYYXj+M3uGLCRyfG/JidZhS6epy4/7f7U0669722Dy99\ncgoAn/w1atVQUeXJKVAUYEoYeptRi1icK9n7pHsKciHc+U2mFPG/Bc18OO3Nb1+JDzdcjc4OBxpM\nOsTiHNxBFr5w0ijkCvME2RjUMmrTLVY9OjscWL1ohvDYZMLKkyFn+GhwcBCbNm0Sfr7hhhvw13/9\n12Vd1FRBvCkVKnNRShiNCiE2LjQfVSfRzH+VgpFYRle1HOSGn9dgxKnxzBuK3AzfeWU3gKQIWWeH\nA7/tcWYNH6lV1KSGtYTYuGxFmVw5YSUh4nEAv5FGonGwsTjoPCqtAhE+kTw3LZ8gF9r4+R+PAuBP\n2RRFwaTTCOGeUuEJRWHSJqeTEamLMX9YqOxJp5DyzXSjAEiHcHd8Nobdp93Cz2SjF/c3kLnno74w\nvJFk+Egu/CMmxnHQp01LFHsDMxPe8deWzcKjNy3N+lrlIuc3iGVZDA0NCT8PDg4iGq3N9uxKIz7F\nFipzUUqYhKdAKiIaq2AUyAZdSGzbG2KhVlFom2HGaQlPAQBWL06enN6693PCTRyMxGQrjwjNdcU3\nsIWyzN0uptqn1KSGjxLSG3mu6eQYSTKnegqyg2QS5Zek09iiL331lfh6+PdKiOLJ9CoUKpOSnrOQ\nw2bUwhVIznIYmAhBT6tTjEmDif8cRn1heMNxqFW8oZTybNMhp/+WRGVX+vwKcrAjIz6rQU5P4bvf\n/S7uvPNOqFQqxONxqFQq/OQnP6nE2moeQ814CmqEIjGhdroankIxMxVIBc05diP+cHAIsTiXUU00\nITqRekR15gE2mrNju9msEzbAQsk2YtWs08AXiUqqaVYKPtHMfxbioS3WPJoWTySSpOk5BbmTrs2o\nxbg/khxXy9BC9Uyp8ITYlA3bbsgudVFo+aaUpyCFzUCDjXHwR2Iw6TQYmAhippVJyS01Cp5CBN5w\nDFY970GJx+k63UFQAMQZEeIREA9lyYNbcOPFrSnrJXpP4hBWpclpFJYvX4633noLExMToCgKFksJ\n2xinOIzYKFTRU9Br1QhFY0KZXHVyCsnwUTpybr4vcTokejqDnhAcacnlFKMgSm4GcuQUAL4C6ePj\n40VdT7ZpekadBhzHd1WnC51VCk9iFCdZD5D/jIfjYwmjkOYpyPXPXHd+E1755IwwDIqXjy51oplN\n8RSIOJ3LH5H8/hRavjkRZMHQqpxVgsTwufwRmHQa9LtDaKlL/U4K4SNvGL5IXDYklSu8ZTdpBc+A\nQMT4JkocnisE2W/0jTfemHUE59k2ea0YDCIxtkKa10qNnuZ7BEh5bLWqjwBk9CpsO+bFv+88KdmA\n5glFYWZonGPnY9unxgLZjUIw+drBSCxlPrMUMxLaNvnO0BYTYmOyG4iglBrOVL+sBOFoDJFoPKX6\nCMh/vOOJUT8aTLqMtaefdBlahY1fXYKBhByELRHbtzAaQXa7VHhDUaGMGEiGqrYfGcHW3uGM74/V\nQEsK18lVrLkDrNBLkA1SneQOsJhlBwYmgvicqDQX4Ce3qVWUED6qM0jfb7nKzuuNWoylKcGShr2a\n9BSeeOKJSq5jSqLXJk+ShchclBqGVmHcH8eoL4w6PZ13oreUCJPo0lz657pdsm5+q00veAoA36uw\n4tz6lOdOiG4OsYHI1acAAE1m/mYd9oRxTn1h8iwhNp4hYkYQBu2Eomgu0HEuhbZNUvcoNXwklVOQ\ner8To4GMJDOBbGTf+p9dONDv4at03uyFVqMS4uUWhsahUGkbWL2hKOY3JT9vg1YDhlbh3b4Rye+P\nTqOCRkVljMeUK99MV0iVQ1BoDUTAxuIY9oaFmRUElYriN3RfBL5IDK2W3K8rRb1Jl5FLI55CNXMK\nsrvHv/7rv8LhcAj//frXv075WSFZcQNU11NgaL5PYcwXQb2p8qEjIOkppIePRvzSp9d+d5BPLuo0\nmGlloFZRkmWpcuEjviQ1+ymdnDyLqUDiw0cynkKBiV2CVHL0u6/sxpwChwyl1/TLJZrlkrG9AxOS\nPQpiFrXU4eRYAJ4QC1cgArtBK0QOyPSxUuIJsYKuElk7G43Lej8TQRZ2oxaaRE6nTq/JWr6Zr1Eg\nORl3IIJhbxgcl6wIEtNg0gmeQj55HCkaTFoh5Avw3mkgkcsIsXGh96jSyBqF4eHhlJ8PHz5c9sVM\nNVKqj6rqKfBGYcRXHd0jIBlKS080NxqlN+4Wq14Y1E6rVWixMrmNQuL/2VgckVg8p6dAtHuKqUAK\nJ06jUogTu4UglRwl59xChgx5ZTyF9PXIJWO94VhGPiGd82fyLtChAS/G/UkVUPK+pRy0w3FcSvUR\nMWaxLC/faNZh2BvGj750Pmg1hduXz87qcU0E2ZyVR0AyRObyRzCQyE+kewoAUG/SYtSXmVMohHqj\nDuP+sNCgR0JH5zYahTVXg7x3snJMWprqkE1Jo6IqrkoqhtQ9j/rCVSlHBUTho7Scwl+eZ8p8bsLN\n9yVyCgA/vCWbUaCopH6N3CjOdJrNxRuFbHkIY5FGIZ/mpk1b+nK+TlI8LrunkO39cnsKvFE40D8B\ndyBZeUTeN85BaNycLEE2hlicE74L2TqDAX6WyYWz6qCigC8snolGkw7DOZoUPXl6CuQ5rgCL/kQu\nRSpP0WjSYcgzSaNg0iLO8eM8AWA84TWQmShy5bjlRnYnS08yZ0s6T1eIp1DN0BH//nzzGq+QWt3w\nUbqnMOiNQqumhE5OUqf95QtbUk6H59gNkr0KnhALk04Ds6hhSpi6lsMoWPR8XLooTyFL9RFZc74N\nbGQWdT7HKrKRZ5tf7UkLHyV7RFI/+2yaOQ+9cSCrV9Jo1qHBpMXBfg8fPjKKjUJ+Uhf5zuBOD4dl\nM2YqCojGObxzcBi0WoUPj46i0cJg2Jv9b+zO0yho1CrU6Wm4Atk9hQZzsjHSKtNclwtSxUTKUEnS\nmSjYVivZLBuU3bVrF1asWAEgMWfU58OKFSsE/e8dO3ZUbJG1CtmUqlmOCiQ9hSBbnR4FsgYgaRS6\nepx49O1DGJgIwahVo9XGoMGsw/9+k/9OBSMxREWnw1l2A8b8EfjSKnpILJiikuGjbPOZxVAUVfRY\nzmzVR4V4Cuny37losepz6uoLozgTnx1JuqavZ/2qNnz/N3slpUdGcoyApSgK57fU4UC/B65AavjI\nok8m2mfWZfyq5HVnmw2Q7vnI9UtY9TQCkZggeR2OxnHfa/twbqNRaLCTgo3FEYjE8t68bYnKJhVF\nwazTZIgGAkg5fBVrFBqMpAkugvlNycY14inUnFE4cOBAJdcxJSEbYaEKqaVGrLvUYK6OUVCpKN44\nRaIZG4I/EsOJMX/KpkU2ApPIUwD4CqT2mcmSHk8iFqyikonmZPgodzlos7m4ruZQFk+hkJxCtlCI\nXHNTrsas9JM1RfHy2YG09XR2OPCno6N4ddcZyffPpdV//kwLnvnsGGJxrmBPoZDmsolEqTGJ+cv1\nS1AUMmYgBNkYjo36s3rrLye0m/71D4fxyienc1Z8WQ1auAMRhNkYZlqlGyTFZd/Fh4/41yDGgFQe\nzRNyCjUWPlLIDTmpVlPiAkhNeFfLUwDITIWY5IbAD7xJnuZICIQ0YB1LjID8ws+2p4QaeE+Bn9tL\n+hTIa+fyFLp6nNjnnMDHx8cLqu5hY3HE4pxsR/Nb+/iJXZu29OV8XblQCAXg3752oRCaEMsd5GrM\nEg/YIfDT1zKNj0GrhkmngVzwN1uoZlGLBWyMQ5xDSoWNMJIzKG8UC2kuS3o+/OvKidXJnZwDkRjG\n/RFJj6irx4l/3Nwr/JxPQp/3FCIYmAhhZp10CE58+KrLo/9BClIpOCaEjyKg1RRmJQ5I1fIUFKMw\nCcjppJoSF0BqbL1aOQWyjmAkJrshxDkIlRbigeZdPU78x3ufCc8T37gkfGTRayQ8BfnPXS58kY9h\nIAN2pIw9URKVWqsU2eS/Ozsc+GjD1dCqVbht+TnC6TXb7wD8Jqqn1SnFDbx8duYmfXjIi/lNppyv\nKcX5LUmPzSYOHwlzmuU3rULeL72aCuANw4cbrsbxR24Q1EnlXtOaOKmLDx2ETVv6Uka+ArkT+jaj\nFi4/i4GJYIoQnphShI9sBi0oCkJZ6rg/LCjR0mpKSEBXGsUoTAJDjeQUxO9fbU/BH4lm3WjIRiIe\nv7hpS1/KEHkgeeMKRoGhhUokMp9ZT8uHj7KFL9JJT4j+tocPt0iFJLK9Lnmd6587JngQvEha6vdD\n3GRFURRf3uhNhgrWr2oT6u+lfiddPA5IiPRJiBEeGfKhrdmcVYZcjj2n3IKH8dPf9wqGLzmnWd5T\nWL+qLaOJUu790sNh2V5T6hpuurgVAD+zIJ1ippnZDFqM+MIY9UXkPQXRfWYtMnykVlGwG7QYSxiz\ncT+f0KcoCnV6be16CoODg/jhD3+Ib3/72wCA3//+93A683PDz3aSieYqewo1Ej7SJ6avrV/VlqEb\nT4aHkFps8UaQ7cYlRqFOT4sSzbk9hXw3A6kGLxJukPIA5V6XeAzpjWIA8N3rFgjPk9LIbzDpUuQO\nOjscuELU2Z3+O6S/Q4xJYqbCqC+MMX8E5zWbCh4B29XjxA+69gs5jzF/RPCIzHkYhc4OB9ZeNlv4\nWUUBP/nyIsn386QlzrO9ptQ1/NWFLQCAYYncUTEeks1AC6Eoufne4hxLPv0PctSL9I/G/BHh/rUa\n6NrNKfzgBz/Atddei/FxXljMbrdjw4YNZV/YVGDLvkEAwEefjRUUsy41JNFs1KpzlmmWEwPNh486\nOxyoM/DloOTm/frKuQAgTKoTEs06jewNOrOOQYiNJ8JHNPyRGKKJShIgu1HIdzOQOvlnCx/Jva6a\nomQ9CIeVjxFv/vuVQihETL2EMJo5sdHMqTdk/I5X1N9BMGoz5bwPD/FSFGRQjFRIRo5sHhFD89VO\nuUpSF87g3/eRry5BnAP+6c1eyfJUIqGeK0ckdw1ELVfKU1i/qg3pQra5PCRx/iRdDI/w+70Dwut+\nfuNRw2AAACAASURBVNN7Rd/79cbkgYB4CgDvfdSspxCPx3HVVVcJfQqkLHW609XjxP1d+ceWywnx\nFKpVeUQw6vhE86gvjHE/i/933QK8ecc8fLjhanxxCX+aG8/wFGjZsMDffX4eACTCR8kySFKSms0A\n5hsuyRZGkKoqk3vdmMw90e8OonfAA7WKwvymzEY+ILExpMXDyQZ3xhUEm1ZxIxs+Sks0Hxnik/dt\nic25ELJ5WhRFwcxosuYUgGSiNA4OFPiGMKnZB0RCvdheqAYTH5uXMgr8pDQtGI0qLw8JSPUCpKqP\npGYxF3vviw8E4z6RUTDUcPiIpmns2LED8Xgco6OjeOmll6DTVXfzqQUKiVmXGxK+qmboCODDR0E2\nht2n+MlVF86yCf8mSCEHUo2CSacRwgJCnXodg41fXYLLz20AwLvnxEWfCLJ5laQmQw38TW3UqiU3\ng2xhBKlcEXldMgPaqqeFkIYULVY9Dg54cG6jUTbM2GDSYtQfSTlsjXrDQqNW+gbtTdMJAgCTTp0R\nPuob8sLCaARhwELI5WnxUhfZS3LdwQjUKgpPbjua0bgnvlekjFwhaNQq1Bu1GJFoYGNjcYz7WfzN\nyrl5eUhAauJYylMo5b1PNJTC0Ri84SjqBaNA167MxT/+4z9i8+bNcLlcuOuuu9Db24uNGzcW9WZ+\nvx/33HMP1q5di1tvvRXbt2/HoUOHcOutt+LWW2/Fgw8+KDz36aefxk033YSbb74Z77//flHvV06K\nSWCVix2fjQIAdp10VTWMZUhIeO8+7YZaRWGJI9nZJKhP+kmimT8dkqE6nR0OPHBDOwDglW+uQGeH\nI2UwCtkEPSFWGPmZPpAnHT7UcA0WOyxYNscuuRlIJUS1iaoeuU28s8OBnfddAwujwReWzEBnhwPr\nV7VlaCURz6R3wCNoCUlRb9IiEo3DK9rUh71hofrneGIoDkHeU4imGJYjQ14saDYXdQLP5WlZ9LlF\n8VwBFlY9jX4Zme1+dxBdPU68tW8AZ1zBSX13G82MpNTFybEAonEO8xulvTQpiKSH1UBLeqOlvPfr\njVp4QlFh7XZTMnxULZkLWfMcDPIXaDab8cADD5TkzX77299i7ty5WLduHYaGhnDHHXegsbER999/\nP5YuXYp7770X77//PubNm4c333wTL7/8Mnw+H2699VasXLkSanV1E7pi5Lous508y0FXjxOPbz0i\n/Jytc7Tc6LVqBMIx7D7txsIZ5pQbikghk5b+9KEqADAjcSob8oQwy25IMQpEItkTjOYlmy1mtt2I\ngwMeyX/r7HCgd9CDX71/DAAfXrhhyQz85/bjWUUOKYrCBbOs2HN6Qnidrb1D2LyX72HQ07xn8vm2\nRnznld0pDXnpNIiamCwMjUAkCl84ikvm2LHf6UmZHtfV48SIN4yXPzmN7UdGhUYso06DaJwT1F05\njsPhIR9uWDoz788p/XMBICvzbdbROXMKEwEWdQYaDK2WvFfq9DTue20fQokczmS+u00Jgbx0jg7z\nITS50J0UHx8fA8CHv654ZFtGs1sp731iBI4M8/kfsacQiMQQjsp31pcLWaNwww03gKIoQdaCQH7+\n4x//WPCb2Ww29PXxLpbH44HVaoXT6cTSpfyA6muuuQY7duzAyMgIrrzySmi1WtjtdjgcDhw9ehRt\nbfLJoUoj13WZLYFVDrLVYVfaKBi0agTYGPacdgsVIWLsBm2GpyBmRkLqmgx1Ic1RdXp+RCLAewqB\nSAzGPLqZCbPsBrxzcFBy3CcAdCTCXPVGLT7ccHWiOe14zqqyC1qt+MX7nyVkvNXwhqI4r8mEWSZg\n91AEf3VBC3YmNphsRiHZ2RrG3AajcGpc1FIHg1aNE4lJaV09Tmx4bW+GsiqQKufN0GqMeMOYCLJY\nUMBmmE62ITFmRpNz1KkrIaS39rLZsh3KhYzUzEaTWYe+wcwZD58lmiLPzfNz6Opx4pG3Dgk/Sxmq\nUt77pDP6cCL/Q2ZT1yW8lYkgiyZzjRiFbdu2lfzNbrjhBrz22mu47rrr4PF48Itf/CJl3nNjYyNG\nRkZgtVpht9uFxxsaGjAyMiJpFHp7ezMeqwRtDHDPZXY81+3CiD+KRqMGd1xkQxvjQW9v5qk0FAqV\nZa3ZXNlKfza+CRdicQ7ecBTNGv79xdetV8dxengcvb29GByfgIaLp6zRl8gV7D1yEvO1E+g7zp/C\nh86cEIzCoWOnMDQWhIqL5n192ogHbIzD9l370GzKLB/87CS/mYz5I9i97wCOneQ3YeepE4BbvtzQ\nDj9icQ5v7tiLBQ06/PnYKK4514x5dSpsO+bDmzv2YO8gb+A0vkH09o5Kvo5njDcCuw8dgzE4hP1D\n/O+EXEOYYVTjwMlh9Paq8E+bT0n2c/zT5v1YcyFv2PYc7MNMM43ufn7D1oVd6O0t7ZQ0AIiH/Rj3\npX7H0r/jgy4vGgwatDEe3HOZHb/88xi84TjsejXuWmbHv2wfkXztYr67qogPI94QDhw8CJXoELvr\nyDAaDGqcPnYky28nyfYZtzH8fV3ovZ8N3xj/t/nkMN8b4x46jd7QEPzjvJHo3t+H2dbKNqTKGoUH\nH3wQP/7xj2XHchYzjvN3v/sdWlpa8Mwzz+DQoUP49re/DYMhOQGKxEPTq5vSvRUx7e3tBa+jVLS3\nA3ffkN9ze3t7y7LWFuuArCtb6c9mzthxoMcFALh+eTvmN5lTrrvlIw+8oSja29vB/XEMTWZtyho5\njoP+1TOIM3Vob2/HH/qPABjDsqXn83Xjr56C0doAzfgYbGZN3tfnokfxxI5RaG0taJ/fkPHvu72n\nAPAblGXGbNQHxwGM4Py287KGBOodIfzk3SG41VZEzVYEo8fxhYvnwxIZBf7shjNqxliMQqNZh8sv\nWiL7OraJELDZCb2tEe3ts3GMHQDQj4sXnYcPB4+gb8iL9vZ2jPiPSf7+iD+KBXNnAR+OYEbrHPQN\nefHPf+L1fn72sQsbVjeX3GucdTSOj8+cSfkbpH/HQ139mNVkR3t7O9rbgb9c5sV1//YBHvjSYnz1\nola8uG9byb677ePHEdvnRvM556YUXIxsHUO7w5b362X7jMWvQe79yd7XTKMfeKsfwyHeG7hkSTts\nRi1GNSPAB8OonzkL7XPsOV6lcHbt2iX7b7JG4e///u8BlHYsZ3d3N1auXAkAWLhwIQKBAAKBpAs6\nNDSEpqYmNDc34/jx4ymPNzY2ZryeQu2EsYBk34CZ0WBeQ6a7bjdqhZCDNxQVNF4IFEVhZh2DwUT4\naCLIJlr++bp4jYoSwkfpSdBskPc5NR7A5RL/Lp4W1+8OCROvcoWPmiwMZlgY7DntFspGl8+zY+S0\nG+c1mfCno6MY80Wyho6AZBKedDUTGegmsw6z643Y2juEWJzLGssmyq1vHxjAUx8cF74PgxOhsuSY\nzAwNXySKeJyDSibh7w6mqqvOazTBoFVj75kJfPWiVqxf1Ybv/d+evEdqZqMpEXoc9iQHTcXjHD4b\n8eGWZbPyfp1K5wqJ/tHRYR/UKkoQ1yPzpKtRliqbSfvTn/6Erq4ufPLJJ5L/FcPs2bOxZ88eAIDT\n6YTRaMSCBQvw6aefAgDeeecdXHnllbjsssvw3nvvIRKJYGhoCMPDw5g/f35R73m2U2inajk50M+H\ne7yhKK7853czKklsBq3QvOaRaMAC+A5SolMvnpZFURQsel4UL1hgornFqgetpnBSYl4DkBrX7ncH\nhcRnPvIlF8yqw94zbuw8NobzmkzChrTyvAb8+fg4jg770D4ze5+AVsNr+JMmpmFvGBoVBZtBizn1\nBrAxvix1/aq2DGE7sokSo/A/O0+VvVS6q8eJ5z46Do4DrnhUumIoHOVHS4o1k9QqCotb6rDPmUzO\nz6k3gFZTk/7uCvO4RWWpg54QApFYQUnmYuRAJoNZp4FWrUKQ5T8rYmCJMXVXoQJJ1lOQalCLRqN4\n+eWXMTQ0hM7OzoLf7Gtf+xruv/9+rFmzBtFoFA899BAaGxvxox/9CPF4HBdccAEuv5w/y91yyy1Y\ns2YNKIrCQw89BJVKkWmSI1tCsFJ09TjxyidJiWaSoLvnMjuId203auENR/nyS4nqI4BPNn98nO+e\nT5+ra0k0TAUiURi00oPnpVCrKLTaDDglkxgNsTGQ6KTTHRRi0vlUfSxttWLLgSEMekK4+eLkiVSt\nooQCgP/95DTaZ1iy/o3ETUwj3jAazTqoVBRmJyaknRwL4NK5dnDg5xF7gtGUiiDSvUxkRNIpVal0\nutDggMgTaRP1eU0kTrh1afOLl7TW4X8+PoloLI44B5x2BbHmstl48EuLJrUuqa5mUnl0bgHlqLmq\nrkoN0b4amAilNM0Ro1CNXgVZo/CVr3wl5ec333wTzz33HK699lp8/etfL+rNjEYjfvazn2U8/uKL\nL2Y8tnbtWqxdu7ao91GoPJu29Elq3T/X7RLyLrbEl37YG0I4GodZJ2EU6vj5B/E4lzFX16Knhea1\nQuU8ZsmM+wR4o6CneYnpgYkg6k060GoqZx8EkByBGWLj2Ly3HxfPtsHZ78ULO8eE57gCbM4QToNR\nJ6h8DieMAgDMaeCN34kxv7Cx/+83L8/oUiaeQp1eI8wnEFOq8Ee2xq2nv5wsfyUKn7Y0BdGlrXV4\n5k9xHB3xwR+OIhyNY/ncycfMmxLNhCMSRqEQTwGo/CFLyiiQHp5qhI9y1vXt3LkTjz/+OBYtWoRn\nnnkG9fX1uX5FYRoidxId8Sc3KFKDTTZnSU+hjkE0zmHUH4YnyKbkHYgoXqF9CgAw227A7lMuyX8L\nJoxCi1WPfncIRp0mLzn0rh4n/uvDZO6LbP60ipNVfZXbbOpNWhxJbGLDnhBabfwm3mxmoNOocHLM\nj4GJEJrMOixoztzk3u8bBgBJg1DK8Ee+jVskTGhNmzVAGhr3npkQPKNLSpBIZWg1zIwmRRTv6IgP\ndXq6qnLy+UDKUsWDeyiK4vWPqiCKJ2sUDh8+jMceewwGgwGbNm3CrFn5J2sUph9yCbpGY/IrRjpF\nSRhHMqeQSBgOTfB19otTwkc0BiZCQl9AIcyuN8ATisIdiKQIngFAMMI3fDmsevQOeDDLbkiZZieH\nnOS3XKAmWwin3qTFzmP8KXfUF0bHOXyJKR9CMuDYiB+7TrlwzcLmjEq8rh4nfrL5YMpjZKqbo8Th\nj3wTscRTSJ81MKfeCLNOg31nJnDGFcC5jUahT2OypDewHR32YX6Tqebny5Nks9hTAIA6Q3VE8WSN\nQmdnJ84991wsXrwY//Ef/5Hx78VKXSicnchVQd1xUVL/iHzpT4xl9xQAYGAimJlT0Gvg8kcQicVh\nyDJLQQpxBVK6UQixvJFpsTLY2juEMBvL2s1MKDROny2E02DSwRVgEWJjGPNHUvSKZtcb8cHhEYSj\ncXxuQWZJrZRxIgbhww1XF7TGXGSvdkvW6JMEabpRUKkoLHbUYfdpN06M+vHFCzKbHIulycxg2BtG\nV48Tm7b0wekOwqBVo6vHWfWcWzZIcUK6UaiWUqrsnfWHP/yhkutQmOLIJehIww+QFMU7Nc43h5my\nGIXTriACkVhaopkWEqlGXeGeAsAnbJe2WlP+TRw+CkfjGJgI5VV5JHdqNmspRDlVQWXC5LR8eMgL\njkvGyAEgGosJSeuNbx0Cx6XmJiqpwyX+OzvdQeg0KqFiSNy4RTYzmyEzdLO0tQ6/+oDvByhFPgHg\nvaXdp90IsjF0n3QJXd+BSKxqsi/50NXjxMt/5ntKnv3oBOY2GIV1Wg3alGqqSiFrFByO2vsAFWob\nqQSdeKMQwkeJnILUUJUGow4aFYXDCcmCurREM6HgRLMt6SmkE4zEwNAqYcrWsVFfXoqzcqfmv1tu\nh6PFUVAFS0PilNib0GhqTLx/V48TfzqaTFpL9R1Uurae/J3vebEbe89MSF6XK8CCVkvPSAiJPq+N\nb/UKr1ks6RVRcoqstWYU0tc9EUwtSLDqaaGqLP33ylkdVbxerYJCgdBqFSwizRyp8JFKRaHZwqBv\nKLtRKDTRbNRp0GDSSZalBtkYzIxGkL8e8oRlpbDFZPOO2tsLq2AhnkLvAH/dpBlr05Y+QeJDvF7x\nJletBsZ5jSa8uW9AUrRtIsjnbqTyHy9/clr4ecgTnvRJXqoiKp1qqBfnIlslFz+oihZKewlys8eB\n0nlCilFQqCh2o1aUU5DWFZpRx+BQ4sSc3qdAyDafWY7Z9QacHPdnPB5iY2gy61KGtOc7YjWXd5Qv\nJNlIPAWSU8gnNFTp2nrCvAYj4hxfOHBec2qJrMvPSs4uLoeAYz4bfqXVi/Mh19/Wquf7ethYHHRC\nzj2XISkFilFQqCg2kVFIV0n9/9u7/6Co6n4P4O9ddhe2BeU3ide8+Uwm4xDyyFxHlHu9YYNdZwxN\nTRDNqbGmxzEtNG3EMqkgxZr81XXUCX+PDRKhpdjtyWwMrcAQe1AjxQqUH8lKwC7g7t4/1nPYxV3d\nleUsy3m/ZpqGw4LfD7Dnc76/Pl/Bg4MCUHbVuny05z4Fgbs9haKztfhXXQsMXaY7yiEbbk80h+o0\n8FcpxfLTUgoXewotdh+7OjTkjQ2MD4dbN9Zdbmq7IynoDZ0O5xP6Yv7D2c9I4K2yL/dyr9+tMEnf\nYugSe5JSzB9xmzBJKvT2jcJfpbzjcBuB7WHptj2FwfeZFJx1uYXyDMLmNYVCIQ4buTLR7EmDAlRQ\n+ynQYryFkAfU4s9G6rIL7ng4wpoUeh4CBFgnmgc/cGdPwdWzs93h6GckDFp5s+zLvdzrdyuWurDZ\n1Txk8J3HgwKe7Qmxp0CSEpbdORs6Auz/8HuuPhK4M9F8ry63daLZ+v2GBAfgclOb5AebKBQKhOn8\ncb3FKJZsALw3NOSKQQFqhAf640qj46Tw2L/d+Tvui/mP/vwzupt7tVs4GGryhm/Ez/3Hw6Eo+qnO\n7vt4+iGBSYEkJSSFQXc5kzdqkJOkoO3+mrudz9zTvbrcxi6zmGSEM3ml7ikA1nmF6y1Gu+WoQP+o\nbeXMiHAdLje13nG92cEmQaDvbuD9+Wd0N87aXXS2FvmnagBYV1PV6g1YevAnAICfwjqUqm/v4uoj\n8n0hYk/B+Z+e0FPQqv3shphsewruVkl1NnZ7y2RGp8ksduOFbrjUPQWgewVSRJBndvhK4eFwHb66\nUG93zdhl3VfRc+OawFdv4FJyNCEvMFmsDzIfPDOmT36OnFMgSQlzCncbPqr4Qw8A4qSwMPYfYJMk\n3EkKdxu7FcpkC5+vv107J/+7ml4dJH8/hBo9tsNH/d2ICB2aWjvtqnkKG9d61j0i191r4tjT5dBt\nMSmQpIThI2crj4SNOYKek8LCcJI7w0fCmRNCXaVgrVqcfBQO2AlQK1F0thaF5d1JoOe/3ZeKztbi\n+M/WJ+4D31+VNBn1hrACyXayufl2iYueFVLJda5MHPfV3gsmBZKUcMDKsZ+vO3wSd1Zkbn3JRRSd\nrRWrb/6ng0N87iY1fij+L/O/AAD/+O+/id1u21PWnJX/7qsnMoGwOqr1dhnum4ZbkiWj3hohrkDq\nnlcQegqOVh+Raxz1bnvqq70XTAokmaKztfjfb34VP3b0JO7s6Ud4rXB04/08xT9w+03W2tG98kVY\nBaPV+ElaQ8jW3VZH9XcPheqgVMBuBZJe7Clw+Oh+2Z6oCMDpiXt9gUmBJHO33awCZ08/fgpFr2+c\nSqUCgf4qtBq7zxwQho+EgniO9PVuWG8lI0/QqJQYFvoAfrUZPnJWNpvckxo/FKdWPo6a3Kn44Jkx\nkh25y9VHJBlXbn7O1rE7q23j7o1T5+8nnpYG2PQUbj95eaOGkNQF7Tzt4XDd7Z6Ctb3N7Cl4nJQr\ntthTIMm48iRu2222fSpyVqDO3RtnoL9KHLsHbOYUNH5O/+2+fjP2513LrrCYLai61oL/2XUZE3L/\niR+v3IC/Sil5qRDyDPYUSDKuPok7eyryxFO8s6Qg3JS9sYbeV3fkAtZ5ou8u/ymWq67VG3C9xQid\nm7WpqP9gUiDJ9Obm56kbZ2CAfVIw9EgK3uKrG7oclfY2mS1o77x7KWvqv5gUSFK9ufl54sap06jw\nZ2v3mQqGTuvEN4c67o+zOR1hlRj5Hs4pkKwEBqjwl7H/9RR8lbM5HW/UjiLP4G+OZCXQX4W2TkcT\nzXwr3A9nm6zihwU7eDX5Ar4TSFaEfQoWi3V4w9BpglIBaPz4VrgfPTdZCUov35C8dhR5Bt8JJCs6\nfxVumS3iJjqDzQE7dH+ETVaZE8Ltdt5KWTuKPIdJgWRFKMQnrEASjuKk3tvzkx49p5d9pVwHdWNS\nIFkRkoKwq9nYZeLKIw9pbLvl8LovlOugbkwKJCu620lBWIEknM9MvRehc7zC3VfKdZAVkwLJinDi\nm9BTMHRy+MhTnv17iE+X6yArJgWSFZ2DOYUALxy9ORA9PiLIK7WjyLO4o5lk5c6JZrN4mhv1nq+W\n66Bu7CmQrPRMCsZOE7TcfUsk4ruBZCWw55wCJ5qJ7Eg+fFRcXIwdO3ZApVJhyZIlGDlyJF577TWY\nTCZERERg/fr10Gg0KC4uxq5du6BUKvHMM89g5syZUjeVBqCeR3JynwKRPUmTQnNzM7Zs2YJDhw6h\nvb0dmzZtwrFjx5Ceno4nn3wS69atQ0FBAVJTU7FlyxYUFBRArVYjNTUVkydPRnAw66lQ7/Q8ktPY\nyX0KRLYkHT4qLS3F+PHjERgYiMjISGRnZ+PMmTNITk4GACQnJ6O0tBQVFRWIjY1FUFAQAgICkJCQ\ngPLycimbSgOY7ZGcHD4isidpT+GPP/6AxWLB0qVL0dDQgMWLF8NgMECjsZ7lGhERgcbGRjQ1NSE0\nNFT8uvDwcDQ2Njr8nlVVVZK0vbeMRqPPtNWT+mPcGoUZdY03UPnzv3DLbEGr/oZH29gfY5aCHOMe\niDFLPqdQX1+PzZs3o66uDvPnz7crRCZUrhT+b3vdWcGymJiYvmusB1VVVflMWz2pP8Yd+tWfUPpr\n8O9/ewTAFQyLfhAxMSM89v37Y8xSkGPcvhpzWVmZ089JOnwUFhaG+Ph4qFQqPPTQQ9DpdNBqtTAa\njQCsCSMyMhJRUVFoamoSv66hoQERERFSNpUGMOFIToN4lgKHj4gEkiaFiRMn4vTp0zCbzbhx4wba\n29uRmJiIkpISAMDx48eRlJSEuLg4VFZWoqWlBW1tbSgvL0dCQoKUTaUBTKdRoa3jFoy3j+LknAJR\nN0mHj6KiopCSkoJnn30WBoMBWVlZiI2NxYoVK3Dw4EFER0cjNTUVarUamZmZeP7556FQKLBo0SIE\nBQVJ2VQawIQjOXkUJ9GdJJ9TmDNnDubMmWN37eOPP77jdVOmTMGUKVOkahbJiHAkp5gUeBQnkYjv\nBpIdYZ9C++2zmrlPgagbkwLJjnAkZ4uhCwCHj4hsMSmQ7AhnKjS2dgIAy1wQ2WBSINnRaaxJoemv\nDgDsKRDZYlIg2QkUewrWpMA5BaJuTAokO8KZCkJPgUmBqBuTAsmOkBSEngKHj4i6MSmQ7AjnNDe1\ndsBPqYDaz3FdLSI5YlIg2RFXH/3VAa3az2mxRSI5YlIg2RF6CsYuM+cTiHpgUiDZeUDtB6FzwBIX\nRPb4jiDZUSoV4l4FTjIT2WNSIFkSViBx+IjIHpMCyZLO35oMmBSI7DEpkCwJPQUOHxHZY1IgWRJK\nXTApENljUiBZEieaWSGVyA6TAsmS0FPgnAKRPSYFkiXOKRA5xqRAsiQmBW5eI7LDdwTJko49BSKH\nmBRIloI4p0DkEJMCydKFay0AgLc/r8KE3H+i6Gytl1tE1D8wKZDsFJ2tRUHZH+LHtXoDXi+sZGIg\nApMCydD6kovoNFnsrhm6TFhfctFLLSLqP5gUSHbq9Aa3rhPJCZMCyU50sNat60RywqRAsrM85dE7\nlqJq1X5YnvKol1pE1H+ovN0AIqmlxg8FYJ1bqNMbEB2sxfKUR8XrRHLGpECylBo/lEmAyAEOHxER\nkYhJgYiIREwKREQkYlIgIiIRkwIREYkUFovFcu+X9U9lZWXebgIRkU8aO3asw+s+nRSIiMizOHxE\nREQiJgUiIhIxKRARkYhJwQMuXbqEyZMnY+/evQCAH374AWlpaZg3bx5efPFF3Lx5EyaTCatWrcLc\nuXMxe/ZsFBUVAQCuXbuGefPmIT09HUuWLEFnZ6c3Q3FZz5h//fVXzJ07FxkZGcjKysKtW7cAAMXF\nxXj66acxa9YsFBQUAAC6urqQmZmJtLQ0ZGRk4Pfff/daHO5yNe4vvvgCM2fOxOzZs/HBBx8A8N24\nXY1Z8Oqrr2LlypUAfDdmwPW4L1y4gBkzZmDGjBnYunUrAN+Om0mhl9rb25GdnY3x48eL13JycvDO\nO+9gz549iI+Px8GDB3Hy5EkYDAbs27cPu3fvRl5eHsxmMzZu3Ij09HTs378fQ4cOFW+c/ZmjmPPy\n8vDCCy9g7969GDJkCI4ePYr29nZs2bIF+fn52LNnD3bs2AG9Xo8jR45g0KBBOHDgABYuXIgNGzZ4\nMRrXuRq3wWBAXl4e8vPzcfDgQXz33Xeorq72ybhdjVlw6tQp/Pbbb+LHvhgz4F7cq1evRnZ2NgoK\nClBdXQ2DweCzcQNMCr2m0Wiwfft2REZGitdCQkKg1+sBADdv3kRISAhCQkLQ0tICs9mM9vZ26HQ6\nKJVKnDlzBsnJyQCA5ORklJaWeiUOdziK+erVq3jssccAAElJSTh16hQqKioQGxuLoKAgBAQEICEh\nAeXl5SgtLcUTTzwBAJg4caLPLC12NW6tVovi4mIEBgZCoVAgODgYer3eJ+N2NWYA6OzsxEcffYSX\nXnpJfK0vxgy4HndTUxPa29sxevRoKJVKvP/++9BqtT4bN8Ck0GsqlQoBAQF2115//XUsWrQIKSkp\nKCsrw/Tp0zFmzBhER0cjOTkZKSkpWLZsGQDAYDBAo9EAACIiItDY2Ch5DO5yFPPIkSPxzTff9hhk\nKgAABRVJREFUAAC+/fZbNDU1oampCaGhoeJrwsPD0djYaHfdz88PSqXSJ4bNXI0bAAIDAwFYhyBq\na2sRFxfnk3G7E/O2bduQlpYmxg7AJ2MGXI+7trYWYWFheOutt5Ceno78/HwAvhs3wKTQJ95++21s\n3rwZJSUlGDt2LPbv348ff/wR165dw5dffokjR44gLy8PnZ2dUCgU4tf58paRFStW4OjRo5g/fz4s\nFov4ny2LxQKFQuH0ui9yFLegpqYGmZmZ2LBhA9Rq9YCJ21HMNTU1OH/+PKZOnWr32oESM+D8b7ym\npgYvv/wydu7cicLCQly6dMmn4+Z5Cn3g4sWL4m7BxMREHD58GEajEePHj4dKpUJUVBSCg4NRX18P\nrVYLo9GIgIAA1NfX23VXfcmQIUOwbds2ANanqIaGBkRFReHEiRPiaxoaGjBmzBhERUWhsbERo0aN\nQldXFywWC9RqtZda3juO4gaA69evY9GiRVi3bh1iYmIAYMDE7SjmEydOoK6uDrNnz0Zraytu3LiB\n7du3D5iYAcdxh4WF4ZFHHkFISAgA6y7h6upqn46bPYU+EB4ejurqagBAZWUlhg8fjuHDh6OiogIA\n0Nraivr6ekRERCAxMRElJSUAgOPHjyMpKclr7e6NjRs3igmgsLAQjz/+OOLi4lBZWYmWlha0tbWh\nvLwcCQkJmDBhAo4dOwYA+PrrrzFu3Dgvtrx3HMUNAKtWrcKaNWswevRo8bUDJW5HMS9YsACHDx/G\nJ598gjfffBOTJk3CwoULB0zMgOO4hw0bhra2Nuj1epjNZlRVVWHEiBE+HTfLXPTS+fPn8d5776G2\ntlbsBbzyyitYt24d1Go1Bg8ejHfffReBgYFYs2YNfvnlF5jNZsyfPx9Tp05FQ0MDVqxYgY6ODkRH\nRyMnJ6ffP1E4innZsmXIzs6GWq3GuHHjsHTpUgDAsWPHsHPnTigUCmRkZGDatGkwmUzIyspCTU0N\nNBoNcnNzMWTIEC9HdW+uxn3lyhWkpqaKk5IAsGDBAkyaNMnn4nbndy04c+YMPv30U+Tm5g743zUA\nVFRUIC8vDx0dHUhKSsLixYt9Nm6ASYGIiGxw+IiIiERMCkREJGJSICIiEZMCERGJmBSIiEjEpEDk\nhq1bt4pVTwHAbDbjqaeewoULF7zYKiLPYVIgcsNzzz2HkpISXL9+HQBw6NAhxMXFYdSoUV5uGZFn\ncJ8CkZs+++wznD59Gm+88QZmzJiBvXv3orm5GWvXroVCoYBOp0Nubi4GDRqEnJwcnDt3Dh0dHUhL\nS8OsWbOwcuVKqNVq6PV6bNq0ydvhENlhT4HITdOmTcPly5eRlZWF6dOnIywsDNnZ2Vi7di127dqF\nCRMmYN++fejo6MDQoUNx4MAB7N+/Hx9++KH4PQYPHsyEQP0SC+IRuUmhUGDp0qVYvnw5cnJyAADn\nzp3D6tWrAVjPFYiNjYW/vz9u3ryJOXPmQK1Wo7m5WfwetiUwiPoTJgWi+zBs2DBERkaKZ2FotVrs\n3r3brjzy999/j9OnT2PPnj1Qq9WIj48XP9ff61uRfHH4iMgDRo0ahZMnTwIAPv/8c5SWlqK5uRkP\nPvgg1Go1vvrqK5hMJp85aIXki0mByANWrVqFbdu2ISMjA4WFhYiJiUFiYiKuXr0qHtw+adIkrFmz\nxttNJborrj4iIiIRewpERCRiUiAiIhGTAhERiZgUiIhIxKRAREQiJgUiIhIxKRARkej/AShloFc3\ns1RgAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f539caf52e8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#2.1\n", "nile = pydataset.data('Nile').as_matrix()\n", "plt.plot(nile[:,0], nile[:,1], '-o')\n", "plt.xlabel('Year')\n", "plt.ylabel('Nile Flow Rate')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 34, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "-0.465\n" ] } ], "source": [ "#2.2\n", "print('{:.3}'.format(np.corrcoef(nile[:,0], nile[:,1])[0,1]))" ] }, { "cell_type": "code", "execution_count": 44, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAX8AAAEDCAYAAADdpATdAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f539367fb00>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#2.3 ok to distplot or plt.hist\n", "sns.distplot(nile[:,1])\n", "plt.axvline(np.mean(nile[:,1]), color='C2', label='Mean')\n", "plt.legend()\n", "plt.xlabel('Flow Rate')\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "2. Insect Spray (10 Points)\n", "===\n", "\n", "*Answer in Python*\n", "\n", "1. [2 points] Load the 'InsectSpray' dataset, convert to a numpy array and print the number of rows and columns. Recall that numpy arrays can only hold one type of data (e.g., string, float, int). What is the data type of the loaded dataset?\n", "\n", "2. [2 points] Using `np.unique`, print out the list of insect spray used. This data is a count insects on a crop field with various insect sprays.\n", "\n", "3. [4 points] Create a violin plot of the data. Label your axes.\n", "\n", "4. [2 points] Which insect spray worked best? What is the mean number of insects for the best insect spray?" ] }, { "cell_type": "code", "execution_count": 47, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(72, 2) string or object is acceptable\n" ] } ], "source": [ "#1.1\n", "insect = pydataset.data('InsectSprays').as_matrix()\n", "print(insect.shape, 'string or object is acceptable')\n" ] }, { "cell_type": "code", "execution_count": 48, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "['A' 'B' 'C' 'D' 'E' 'F']\n" ] } ], "source": [ "#1.2\n", "print(np.unique(insect[:,1]))" ] }, { "cell_type": "code", "execution_count": 50, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXkAAAEFCAYAAAAG45eHAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f53935d7710>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#1.3\n", "labels = np.unique(insect[:,1])\n", "ldata = []\n", "#slice out each set of rows that matches label\n", "#and add to list\n", "for l in labels:\n", " ldata.append(insect[insect[:,1] == l, 0].astype(float))\n", "sns.violinplot(data=ldata)\n", "plt.xticks(range(len(labels)), labels)\n", "plt.xlabel('Insecticide Type')\n", "plt.ylabel('Insect Count')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 54, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "C is best and its mean is 2.1\n" ] } ], "source": [ "#1.4\n", "print('C is best and its mean is {:.2}'.format(np.mean(ldata[2])))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "3. NY Air Quality (6 Points)\n", "===\n", "\n", "Load the 'airquality' dataset and convert into to a numpy array. Make a scatter plot of wind (column 2, mph) and ozone concentration (column 0, ppb). Using the `plt.text` command, display the correlation coefficient in the plot. This data as `nan`, which means \"not a number\". You can select non-nans by using `x[~numpy.isnan(x)]`. You'll need to remove these to calculate correlation coefficient. " ] }, { "cell_type": "code", "execution_count": 71, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAX8AAAEFCAYAAAAL/efAAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f5393dbbd68>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "nyair = pydataset.data('airquality').as_matrix()\n", "plt.plot(nyair[:,2], nyair[:,0], 'o')\n", "plt.xlabel('Wind [mph]')\n", "plt.ylabel('Ozone [ppb]')\n", "nans = np.isnan(nyair[:,0])\n", "r = np.corrcoef(nyair[~nans,2], nyair[~nans,0])[0,1]\n", "plt.text(10, 130, 'Correlation Coefficient = {:.2}'.format(r))\n", "plt.show()" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.4" } }, "nbformat": 4, "nbformat_minor": 2 }
gpl-3.0
thewtex/SimpleITK-Notebooks
62_Registration_Tuning.ipynb
1
17093
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "<h1 align=\"center\">Registration Settings: Choices, Choices, Choices</h1>\n", "\n", "The performance of most registration algorithms is dependent on a large number of parameter settings. For optimal performance you will need to customize your settings, turning all the knobs to their \"optimal\" position:<br>\n", "<img src=\"knobs.jpg\" style=\"width:700px\"/>\n", "<font size=\"1\"> [This image was originally posted to Flickr and downloaded from wikimedia commons https://commons.wikimedia.org/wiki/File:TASCAM_M-520_knobs.jpg]</font>\n", "\n", "This notebook illustrates the use of reference data (a.k.a \"gold\" standard) to empirically tune a registration framework for specific usage. This is dependent on the characteristics of your images (anatomy, modality, image's physical spacing...) and on the clinical needs.\n", "\n", "Also keep in mind that the defintion of optimal settings does not necessarily correspond to those that provide the most accurate results. \n", "\n", "The optimal settings are task specific and should provide:\n", "<ul>\n", "<li>Sufficient accuracy in the Region Of Interest (ROI).</li>\n", "<li>Complete the computation in the alloted time.</li>\n", "</ul>\n", "\n", "We will be using the training data from the Retrospective Image Registration Evaluation (<a href=\"http://www.insight-journal.org/rire/\">RIRE</a>) project." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import SimpleITK as sitk\n", "\n", "# Utility method that either downloads data from the network or\n", "# if already downloaded returns the file name for reading from disk (cached data).\n", "from downloaddata import fetch_data as fdata\n", "\n", "# Always write output to a separate directory, we don't want to pollute the source directory. \n", "OUTPUT_DIR = 'Output'\n", "\n", "import registration_callbacks as rc\n", "import registration_utilities as ru\n", "\n", "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Read the RIRE data and generate a larger point set as a reference" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "fixed_image = sitk.ReadImage(fdata(\"training_001_ct.mha\"), sitk.sitkFloat32)\n", "moving_image = sitk.ReadImage(fdata(\"training_001_mr_T1.mha\"), sitk.sitkFloat32) \n", "fixed_fiducial_points, moving_fiducial_points = ru.load_RIRE_ground_truth(fdata(\"ct_T1.standard\"))\n", "\n", "# Estimate the reference_transform defined by the RIRE fiducials and check that the FRE makes sense (low) \n", "R, t = ru.absolute_orientation_m(fixed_fiducial_points, moving_fiducial_points)\n", "reference_transform = sitk.Euler3DTransform()\n", "reference_transform.SetMatrix(R.flatten())\n", "reference_transform.SetTranslation(t)\n", "reference_errors_mean, reference_errors_std, _, reference_errors_max,_ = ru.registration_errors(reference_transform, fixed_fiducial_points, moving_fiducial_points)\n", "print('Reference data errors (FRE) in millimeters, mean(std): {:.2f}({:.2f}), max: {:.2f}'.format(reference_errors_mean, reference_errors_std, reference_errors_max))\n", "\n", "# Generate a reference dataset from the reference transformation \n", "# (corresponding points in the fixed and moving images).\n", "fixed_points = ru.generate_random_pointset(image=fixed_image, num_points=100)\n", "moving_points = [reference_transform.TransformPoint(p) for p in fixed_points] \n", "\n", "# Compute the TRE prior to registration.\n", "pre_errors_mean, pre_errors_std, pre_errors_min, pre_errors_max, _ = ru.registration_errors(sitk.Euler3DTransform(), fixed_points, moving_points, display_errors = True)\n", "print('Before registration, errors (TRE) in millimeters, mean(std): {:.2f}({:.2f}), max: {:.2f}'.format(pre_errors_mean, pre_errors_std, pre_errors_max))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Initial Alignment\n", "\n", "We use the CenteredTransformInitializer. Should we use the GEOMETRY based version or the MOMENTS based one?" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "initial_transform = sitk.CenteredTransformInitializer(sitk.Cast(fixed_image,moving_image.GetPixelIDValue()), \n", " moving_image, \n", " sitk.Euler3DTransform(), \n", " sitk.CenteredTransformInitializerFilter.GEOMETRY)\n", "\n", "initial_errors_mean, initial_errors_std, initial_errors_min, initial_errors_max, _ = ru.registration_errors(initial_transform, fixed_points, moving_points, min_err=pre_errors_min, max_err=pre_errors_max, display_errors=True)\n", "print('After initialization, errors (TRE) in millimeters, mean(std): {:.2f}({:.2f}), max: {:.2f}'.format(initial_errors_mean, initial_errors_std, initial_errors_max))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Registration\n", "\n", "Possible choices for simple rigid multi-modality registration framework (<b>300</b> component combinations, in addition to parameter settings for each of the components):\n", "<ul>\n", "<li>Similarity metric, 2 options (Mattes MI, JointHistogram MI):\n", "<ul>\n", " <li>Number of histogram bins.</li>\n", " <li>Sampling strategy, 3 options (NONE, REGULAR, RANDOM)</li>\n", " <li>Sampling percentage.</li>\n", "</ul>\n", "</li>\n", "<li>Interpolator, 10 options (sitkNearestNeighbor, sitkLinear, sitkGaussian, sitkBSpline,...)</li>\n", "<li>Optimizer, 5 options (GradientDescent, GradientDescentLineSearch, RegularStepGradientDescent...): \n", "<ul>\n", " <li>Number of iterations.</li>\n", " <li>learning rate (step size along parameter space traversal direction).</li>\n", "</ul>\n", "</li>\n", "</ul>\n", "\n", "In this example we will plot the similarity metric's value and more importantly the TREs for our reference data. A good choice for the former should be reflected by the later. That is, the TREs should go down as the similarity measure value goes down (not necessarily at the same rates).\n", "\n", "Finally, we are also interested in timing our registration. Ipython allows us to do this with minimal effort using the <a href=\"http://ipython.org/ipython-doc/stable/interactive/magics.html?highlight=timeit#magic-timeit\">timeit</a> cell magic (Ipython has a set of predefined functions that use a command line syntax, and are referred to as magic functions). " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#%%timeit -r1 -n1\n", "# to time this cell uncomment the line above\n", "#the arguments to the timeit magic specify that this cell should only be run once. running it multiple \n", "#times to get performance statistics is also possible, but takes time. if you want to analyze the accuracy \n", "#results from multiple runs you will have to modify the code to save them instead of just printing them out.\n", "\n", "registration_method = sitk.ImageRegistrationMethod()\n", "registration_method.SetMetricAsMattesMutualInformation(numberOfHistogramBins=50)\n", "registration_method.SetMetricSamplingStrategy(registration_method.RANDOM)\n", "registration_method.SetMetricSamplingPercentage(0.01)\n", "registration_method.SetInterpolator(sitk.sitkNearestNeighbor) #2. Replace with sitkLinear\n", "registration_method.SetOptimizerAsGradientDescent(learningRate=1.0, numberOfIterations=100) #1. Increase to 1000\n", "registration_method.SetOptimizerScalesFromPhysicalShift() \n", " \n", "# Don't optimize in-place, we would like to run this cell multiple times\n", "registration_method.SetInitialTransform(initial_transform, inPlace=False)\n", "\n", "# Add callbacks which will display the similarity measure value and the reference data during the registration process\n", "registration_method.AddCommand(sitk.sitkStartEvent, rc.metric_and_reference_start_plot)\n", "registration_method.AddCommand(sitk.sitkEndEvent, rc.metric_and_reference_end_plot)\n", "registration_method.AddCommand(sitk.sitkIterationEvent, lambda: rc.metric_and_reference_plot_values(registration_method, fixed_points, moving_points))\n", "\n", "final_transform_single_scale = registration_method.Execute(sitk.Cast(fixed_image, sitk.sitkFloat32), \n", " sitk.Cast(moving_image, sitk.sitkFloat32))\n", "\n", "print('Final metric value: {0}'.format(registration_method.GetMetricValue()))\n", "print('Optimizer\\'s stopping condition, {0}'.format(registration_method.GetOptimizerStopConditionDescription()))\n", "final_errors_mean, final_errors_std, _, final_errors_max,_ = ru.registration_errors(final_transform_single_scale, fixed_points, moving_points, min_err=initial_errors_min, max_err=initial_errors_max, display_errors=True)\n", "print('After registration, errors in millimeters, mean(std): {:.2f}({:.2f}), max: {:.2f}'.format(final_errors_mean, final_errors_std, final_errors_max))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In some cases visual comparison of the registration errors using the same scale is not informative, as seen above [all points are grey/black]. We therefor set the color scale to the min-max error range found in the current data and not the range from the previous stage." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "final_errors_mean, final_errors_std, _, final_errors_max,_ = ru.registration_errors(final_transform_single_scale, fixed_points, moving_points, display_errors=True)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Now using the built in multi-resolution framework\n", "\n", "Perform registration using the same settings as above, but take advantage of the multi-resolution framework which provides a significant speedup with minimal effort (3 lines of code).\n", "\n", "It should be noted that when using this framework the similarity metric value will not necessarily decrease between resolutions, we are only ensured that it decreases per resolution. This is not an issue, as we are actually observing the values of a different function at each resolution. \n", "\n", "The example below shows that registration is improving even though the similarity value increases when changing resolution levels." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "%%timeit -r1 -n1\n", "#the arguments to the timeit magic specify that this cell should only be run once. running it multiple \n", "#times to get performance statistics is also possible, but takes time. if you want to analyze the accuracy \n", "#results from multiple runs you will have to modify the code to save them instead of just printing them out.\n", "\n", "registration_method = sitk.ImageRegistrationMethod()\n", "registration_method.SetMetricAsMattesMutualInformation(numberOfHistogramBins=50)\n", "registration_method.SetMetricSamplingStrategy(registration_method.RANDOM)\n", "registration_method.SetMetricSamplingPercentage(0.1)\n", "registration_method.SetInterpolator(sitk.sitkLinear) #2. Replace with sitkLinear\n", "registration_method.SetOptimizerAsGradientDescent(learningRate=1.0, numberOfIterations=100) \n", "registration_method.SetOptimizerScalesFromPhysicalShift() \n", " \n", "# Don't optimize in-place, we would like to run this cell multiple times\n", "registration_method.SetInitialTransform(initial_transform, inPlace=False)\n", "\n", "# Add callbacks which will display the similarity measure value and the reference data during the registration process\n", "registration_method.AddCommand(sitk.sitkStartEvent, rc.metric_and_reference_start_plot)\n", "registration_method.AddCommand(sitk.sitkEndEvent, rc.metric_and_reference_end_plot)\n", "registration_method.AddCommand(sitk.sitkIterationEvent, lambda: rc.metric_and_reference_plot_values(registration_method, fixed_points, moving_points))\n", "\n", "registration_method.SetShrinkFactorsPerLevel(shrinkFactors = [4,2,1])\n", "registration_method.SetSmoothingSigmasPerLevel(smoothingSigmas=[2,1,0])\n", "registration_method.SmoothingSigmasAreSpecifiedInPhysicalUnitsOn()\n", "\n", "final_transform = registration_method.Execute(sitk.Cast(fixed_image, sitk.sitkFloat32), \n", " sitk.Cast(moving_image, sitk.sitkFloat32))\n", "\n", "print('Final metric value: {0}'.format(registration_method.GetMetricValue()))\n", "print('Optimizer\\'s stopping condition, {0}'.format(registration_method.GetOptimizerStopConditionDescription()))\n", "final_errors_mean, final_errors_std, _, final_errors_max,_ = ru.registration_errors(final_transform, fixed_points, moving_points, True)\n", "\n", "print('After registration, errors in millimeters, mean(std): {:.2f}({:.2f}), max: {:.2f}'.format(final_errors_mean, final_errors_std, final_errors_max))" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "### Sufficient accuracy <u>inside</u> the ROI \n", "\n", "Up to this point our accuracy evaluation has ignored the content of the image and is likely overly conservative. We have been looking at the registration errors inside the volume, but not necesserily in the smaller ROI.\n", "\n", "To see the difference you will have to <b>comment out the timeit magic in the code above</b>, run it again, and then run the following cell." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Threshold the original fixed, CT, image at 0HU (water), resulting in a binary labeled [0,1] image.\n", "roi = fixed_image> 0\n", "\n", "# Our ROI consists of all voxels with a value of 1, now get the bounding box surrounding the head.\n", "label_shape_analysis = sitk.LabelShapeStatisticsImageFilter()\n", "label_shape_analysis.SetBackgroundValue(0)\n", "label_shape_analysis.Execute(roi)\n", "bounding_box = label_shape_analysis.GetBoundingBox(1)\n", "\n", "# Bounding box in physical space.\n", "sub_image_min = fixed_image.TransformIndexToPhysicalPoint((bounding_box[0],bounding_box[1], bounding_box[2]))\n", "sub_image_max = fixed_image.TransformIndexToPhysicalPoint((bounding_box[0]+bounding_box[3]-1,\n", " bounding_box[1]+bounding_box[4]-1, \n", " bounding_box[2]+bounding_box[5]-1))\n", "# Only look at the points inside our bounding box.\n", "sub_fixed_points = []\n", "sub_moving_points = []\n", "for fixed_pnt, moving_pnt in zip(fixed_points, moving_points):\n", " if sub_image_min[0]<=fixed_pnt[0]<=sub_image_max[0] and \\\n", " sub_image_min[1]<=fixed_pnt[1]<=sub_image_max[1] and \\\n", " sub_image_min[2]<=fixed_pnt[2]<=sub_image_max[2] : \n", " sub_fixed_points.append(fixed_pnt)\n", " sub_moving_points.append(moving_pnt)\n", "\n", "final_errors_mean, final_errors_std, _, final_errors_max,_ = ru.registration_errors(final_transform, sub_fixed_points, sub_moving_points, True)\n", "print('After registration, errors in millimeters, mean(std): {:.2f}({:.2f}), max: {:.2f}'.format(final_errors_mean, final_errors_std, final_errors_max))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
fmonti/mgcnn
Notebooks/douban/.ipynb_checkpoints/supervised_approach_douban_dataset_original_training_set_norm_acc_with_factorization_1_mono_conv_norm_W-checkpoint.ipynb
1
1585447
null
gpl-3.0
park-python/course
lectures/10_Python_Applications/pandas_tutorial.ipynb
1
43170
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import pandas as pd" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Скачаем датасет матчей Испанской Ла Лиги за последние 90 лет\n", "\n", "Большое количество датасетов можно найти в репозитории [awesome public datasets](https://github.com/caesar0301/awesome-public-datasets)" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import requests\n", "\n", "\n", "r = requests.get('https://github.com/jalapic/engsoccerdata/raw/master/data-raw/spain.csv')\n", "with open('spain.csv', 'w') as f:\n", " f.write(r.text)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Date</th>\n", " <th>Season</th>\n", " <th>home</th>\n", " <th>visitor</th>\n", " <th>HT</th>\n", " <th>FT</th>\n", " <th>hgoal</th>\n", " <th>vgoal</th>\n", " <th>tier</th>\n", " <th>round</th>\n", " <th>group</th>\n", " <th>notes</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1929-02-10</td>\n", " <td>1928</td>\n", " <td>Arenas de Getxo</td>\n", " <td>Atletico Madrid</td>\n", " <td>0-2</td>\n", " <td>2-3</td>\n", " <td>2</td>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1929-02-10</td>\n", " <td>1928</td>\n", " <td>Espanyol Barcelona</td>\n", " <td>Real Union</td>\n", " <td>1-0</td>\n", " <td>3-2</td>\n", " <td>3</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1929-02-10</td>\n", " <td>1928</td>\n", " <td>Real Madrid</td>\n", " <td>CE Europa</td>\n", " <td>0-0</td>\n", " <td>5-0</td>\n", " <td>5</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1929-02-10</td>\n", " <td>1928</td>\n", " <td>Real Sociedad</td>\n", " <td>Athletic Bilbao</td>\n", " <td>1-1</td>\n", " <td>1-1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1929-02-12</td>\n", " <td>1928</td>\n", " <td>Racing Santander</td>\n", " <td>FC Barcelona</td>\n", " <td>0-0</td>\n", " <td>0-2</td>\n", " <td>0</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Date Season home visitor HT FT hgoal \\\n", "0 1929-02-10 1928 Arenas de Getxo Atletico Madrid 0-2 2-3 2 \n", "1 1929-02-10 1928 Espanyol Barcelona Real Union 1-0 3-2 3 \n", "2 1929-02-10 1928 Real Madrid CE Europa 0-0 5-0 5 \n", "3 1929-02-10 1928 Real Sociedad Athletic Bilbao 1-1 1-1 1 \n", "4 1929-02-12 1928 Racing Santander FC Barcelona 0-0 0-2 0 \n", "\n", " vgoal tier round group notes \n", "0 3 1 league NaN NaN \n", "1 2 1 league NaN NaN \n", "2 0 1 league NaN NaN \n", "3 1 1 league NaN NaN \n", "4 2 1 league NaN NaN " ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "matches = pd.read_csv('spain.csv')\n", "matches.head()" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Date</th>\n", " <th>Season</th>\n", " <th>home</th>\n", " <th>visitor</th>\n", " <th>HT</th>\n", " <th>FT</th>\n", " <th>hgoal</th>\n", " <th>vgoal</th>\n", " <th>tier</th>\n", " <th>round</th>\n", " <th>group</th>\n", " <th>notes</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>23910</th>\n", " <td>2016-05-15</td>\n", " <td>2015</td>\n", " <td>Malaga CF</td>\n", " <td>UD Las Palmas</td>\n", " <td>NaN</td>\n", " <td>4-1</td>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>23911</th>\n", " <td>2016-05-15</td>\n", " <td>2015</td>\n", " <td>Espanyol Barcelona</td>\n", " <td>SD Eibar</td>\n", " <td>NaN</td>\n", " <td>4-2</td>\n", " <td>4</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>23912</th>\n", " <td>2016-05-15</td>\n", " <td>2015</td>\n", " <td>Sporting Gijon</td>\n", " <td>Villarreal CF</td>\n", " <td>NaN</td>\n", " <td>2-0</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>23913</th>\n", " <td>2016-05-15</td>\n", " <td>2015</td>\n", " <td>Real Betis</td>\n", " <td>Getafe CF</td>\n", " <td>NaN</td>\n", " <td>2-1</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>23914</th>\n", " <td>2016-05-15</td>\n", " <td>2015</td>\n", " <td>Rayo Vallecano</td>\n", " <td>Levante UD</td>\n", " <td>NaN</td>\n", " <td>3-1</td>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Date Season home visitor HT FT hgoal \\\n", "23910 2016-05-15 2015 Malaga CF UD Las Palmas NaN 4-1 4 \n", "23911 2016-05-15 2015 Espanyol Barcelona SD Eibar NaN 4-2 4 \n", "23912 2016-05-15 2015 Sporting Gijon Villarreal CF NaN 2-0 2 \n", "23913 2016-05-15 2015 Real Betis Getafe CF NaN 2-1 2 \n", "23914 2016-05-15 2015 Rayo Vallecano Levante UD NaN 3-1 3 \n", "\n", " vgoal tier round group notes \n", "23910 1 1 league NaN NaN \n", "23911 2 1 league NaN NaN \n", "23912 0 1 league NaN NaN \n", "23913 1 1 league NaN NaN \n", "23914 1 1 league NaN NaN " ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "matches.tail()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(23915, 12)" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "matches.shape # 24000 матчей!" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Фильтрация" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Season</th>\n", " <th>home</th>\n", " <th>visitor</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>23905</th>\n", " <td>2015</td>\n", " <td>Valencia CF</td>\n", " <td>Real Sociedad</td>\n", " </tr>\n", " <tr>\n", " <th>23906</th>\n", " <td>2015</td>\n", " <td>Deportivo La Coruna</td>\n", " <td>Real Madrid</td>\n", " </tr>\n", " <tr>\n", " <th>23907</th>\n", " <td>2015</td>\n", " <td>Granada CF</td>\n", " <td>FC Barcelona</td>\n", " </tr>\n", " <tr>\n", " <th>23908</th>\n", " <td>2015</td>\n", " <td>Athletic Bilbao</td>\n", " <td>Sevilla FC</td>\n", " </tr>\n", " <tr>\n", " <th>23909</th>\n", " <td>2015</td>\n", " <td>Atletico Madrid</td>\n", " <td>Celta Vigo</td>\n", " </tr>\n", " <tr>\n", " <th>23910</th>\n", " <td>2015</td>\n", " <td>Malaga CF</td>\n", " <td>UD Las Palmas</td>\n", " </tr>\n", " <tr>\n", " <th>23911</th>\n", " <td>2015</td>\n", " <td>Espanyol Barcelona</td>\n", " <td>SD Eibar</td>\n", " </tr>\n", " <tr>\n", " <th>23912</th>\n", " <td>2015</td>\n", " <td>Sporting Gijon</td>\n", " <td>Villarreal CF</td>\n", " </tr>\n", " <tr>\n", " <th>23913</th>\n", " <td>2015</td>\n", " <td>Real Betis</td>\n", " <td>Getafe CF</td>\n", " </tr>\n", " <tr>\n", " <th>23914</th>\n", " <td>2015</td>\n", " <td>Rayo Vallecano</td>\n", " <td>Levante UD</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Season home visitor\n", "23905 2015 Valencia CF Real Sociedad\n", "23906 2015 Deportivo La Coruna Real Madrid\n", "23907 2015 Granada CF FC Barcelona\n", "23908 2015 Athletic Bilbao Sevilla FC\n", "23909 2015 Atletico Madrid Celta Vigo\n", "23910 2015 Malaga CF UD Las Palmas\n", "23911 2015 Espanyol Barcelona SD Eibar\n", "23912 2015 Sporting Gijon Villarreal CF\n", "23913 2015 Real Betis Getafe CF\n", "23914 2015 Rayo Vallecano Levante UD" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "matches.iloc[-10:,1:4]" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Date</th>\n", " <th>home</th>\n", " <th>visitor</th>\n", " <th>FT</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>23905</th>\n", " <td>2016-05-13</td>\n", " <td>Valencia CF</td>\n", " <td>Real Sociedad</td>\n", " <td>0-1</td>\n", " </tr>\n", " <tr>\n", " <th>23906</th>\n", " <td>2016-05-14</td>\n", " <td>Deportivo La Coruna</td>\n", " <td>Real Madrid</td>\n", " <td>0-2</td>\n", " </tr>\n", " <tr>\n", " <th>23907</th>\n", " <td>2016-05-14</td>\n", " <td>Granada CF</td>\n", " <td>FC Barcelona</td>\n", " <td>0-3</td>\n", " </tr>\n", " <tr>\n", " <th>23908</th>\n", " <td>2016-05-14</td>\n", " <td>Athletic Bilbao</td>\n", " <td>Sevilla FC</td>\n", " <td>3-1</td>\n", " </tr>\n", " <tr>\n", " <th>23909</th>\n", " <td>2016-05-14</td>\n", " <td>Atletico Madrid</td>\n", " <td>Celta Vigo</td>\n", " <td>2-0</td>\n", " </tr>\n", " <tr>\n", " <th>23910</th>\n", " <td>2016-05-15</td>\n", " <td>Malaga CF</td>\n", " <td>UD Las Palmas</td>\n", " <td>4-1</td>\n", " </tr>\n", " <tr>\n", " <th>23911</th>\n", " <td>2016-05-15</td>\n", " <td>Espanyol Barcelona</td>\n", " <td>SD Eibar</td>\n", " <td>4-2</td>\n", " </tr>\n", " <tr>\n", " <th>23912</th>\n", " <td>2016-05-15</td>\n", " <td>Sporting Gijon</td>\n", " <td>Villarreal CF</td>\n", " <td>2-0</td>\n", " </tr>\n", " <tr>\n", " <th>23913</th>\n", " <td>2016-05-15</td>\n", " <td>Real Betis</td>\n", " <td>Getafe CF</td>\n", " <td>2-1</td>\n", " </tr>\n", " <tr>\n", " <th>23914</th>\n", " <td>2016-05-15</td>\n", " <td>Rayo Vallecano</td>\n", " <td>Levante UD</td>\n", " <td>3-1</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Date home visitor FT\n", "23905 2016-05-13 Valencia CF Real Sociedad 0-1\n", "23906 2016-05-14 Deportivo La Coruna Real Madrid 0-2\n", "23907 2016-05-14 Granada CF FC Barcelona 0-3\n", "23908 2016-05-14 Athletic Bilbao Sevilla FC 3-1\n", "23909 2016-05-14 Atletico Madrid Celta Vigo 2-0\n", "23910 2016-05-15 Malaga CF UD Las Palmas 4-1\n", "23911 2016-05-15 Espanyol Barcelona SD Eibar 4-2\n", "23912 2016-05-15 Sporting Gijon Villarreal CF 2-0\n", "23913 2016-05-15 Real Betis Getafe CF 2-1\n", "23914 2016-05-15 Rayo Vallecano Levante UD 3-1" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "matches.loc[matches.shape[0] - 10:,[\"Date\", \"home\", \"visitor\", \"FT\"]]" ] }, { "cell_type": "code", "execution_count": 40, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "23910 2016-05-15\n", "23911 2016-05-15\n", "23912 2016-05-15\n", "23913 2016-05-15\n", "23914 2016-05-15\n", "Name: Date, dtype: object" ] }, "execution_count": 40, "metadata": {}, "output_type": "execute_result" } ], "source": [ "matches[\"Date\"][-5:] # Series object" ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Date</th>\n", " <th>FT</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>23910</th>\n", " <td>2016-05-15</td>\n", " <td>4-1</td>\n", " </tr>\n", " <tr>\n", " <th>23911</th>\n", " <td>2016-05-15</td>\n", " <td>4-2</td>\n", " </tr>\n", " <tr>\n", " <th>23912</th>\n", " <td>2016-05-15</td>\n", " <td>2-0</td>\n", " </tr>\n", " <tr>\n", " <th>23913</th>\n", " <td>2016-05-15</td>\n", " <td>2-1</td>\n", " </tr>\n", " <tr>\n", " <th>23914</th>\n", " <td>2016-05-15</td>\n", " <td>3-1</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Date FT\n", "23910 2016-05-15 4-1\n", "23911 2016-05-15 4-2\n", "23912 2016-05-15 2-0\n", "23913 2016-05-15 2-1\n", "23914 2016-05-15 3-1" ] }, "execution_count": 38, "metadata": {}, "output_type": "execute_result" } ], "source": [ "matches[[\"Date\", \"FT\"]][-5:]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Агрегация" ] }, { "cell_type": "code", "execution_count": 49, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(1.8334936232490069, 1.0283085929333056)" ] }, "execution_count": 49, "metadata": {}, "output_type": "execute_result" } ], "source": [ "matches[\"hgoal\"].mean(), matches[\"vgoal\"].mean()" ] }, { "cell_type": "code", "execution_count": 52, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(12, 8)" ] }, "execution_count": 52, "metadata": {}, "output_type": "execute_result" } ], "source": [ "matches[\"hgoal\"].max(), matches[\"vgoal\"].max()" ] }, { "cell_type": "code", "execution_count": 54, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(2.0, 1.0)" ] }, "execution_count": 54, "metadata": {}, "output_type": "execute_result" } ], "source": [ "matches[\"hgoal\"].median(), matches[\"vgoal\"].median()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Date</th>\n", " <th>Season</th>\n", " <th>home</th>\n", " <th>visitor</th>\n", " <th>HT</th>\n", " <th>FT</th>\n", " <th>hgoal</th>\n", " <th>vgoal</th>\n", " <th>tier</th>\n", " <th>round</th>\n", " <th>group</th>\n", " <th>notes</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>225</th>\n", " <td>1931-02-08</td>\n", " <td>1930</td>\n", " <td>Athletic Bilbao</td>\n", " <td>FC Barcelona</td>\n", " <td>6-1</td>\n", " <td>12-1</td>\n", " <td>12</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>936</th>\n", " <td>1940-09-29</td>\n", " <td>1940</td>\n", " <td>Sevilla FC</td>\n", " <td>FC Barcelona</td>\n", " <td>4-1</td>\n", " <td>11-1</td>\n", " <td>11</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>5027</th>\n", " <td>1960-02-07</td>\n", " <td>1959</td>\n", " <td>Real Madrid</td>\n", " <td>Elche CF</td>\n", " <td>5-1</td>\n", " <td>11-2</td>\n", " <td>11</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Date Season home visitor HT FT hgoal \\\n", "225 1931-02-08 1930 Athletic Bilbao FC Barcelona 6-1 12-1 12 \n", "936 1940-09-29 1940 Sevilla FC FC Barcelona 4-1 11-1 11 \n", "5027 1960-02-07 1959 Real Madrid Elche CF 5-1 11-2 11 \n", "\n", " vgoal tier round group notes \n", "225 1 1 league NaN NaN \n", "936 1 1 league NaN NaN \n", "5027 2 1 league NaN NaN " ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "matches[matches[\"hgoal\"] > 10]" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Date</th>\n", " <th>Season</th>\n", " <th>home</th>\n", " <th>visitor</th>\n", " <th>HT</th>\n", " <th>FT</th>\n", " <th>hgoal</th>\n", " <th>vgoal</th>\n", " <th>tier</th>\n", " <th>round</th>\n", " <th>group</th>\n", " <th>notes</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>8554</th>\n", " <td>1974-02-17</td>\n", " <td>1973</td>\n", " <td>Real Madrid</td>\n", " <td>FC Barcelona</td>\n", " <td>0-2</td>\n", " <td>0-5</td>\n", " <td>0</td>\n", " <td>5</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>21205</th>\n", " <td>2009-05-02</td>\n", " <td>2008</td>\n", " <td>Real Madrid</td>\n", " <td>FC Barcelona</td>\n", " <td>1-3</td>\n", " <td>2-6</td>\n", " <td>2</td>\n", " <td>6</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>23063</th>\n", " <td>2014-03-23</td>\n", " <td>2013</td>\n", " <td>Real Madrid</td>\n", " <td>FC Barcelona</td>\n", " <td>2-2</td>\n", " <td>3-4</td>\n", " <td>3</td>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>23646</th>\n", " <td>2015-11-21</td>\n", " <td>2015</td>\n", " <td>Real Madrid</td>\n", " <td>FC Barcelona</td>\n", " <td>NaN</td>\n", " <td>0-4</td>\n", " <td>0</td>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>league</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Date Season home visitor HT FT hgoal vgoal \\\n", "8554 1974-02-17 1973 Real Madrid FC Barcelona 0-2 0-5 0 5 \n", "21205 2009-05-02 2008 Real Madrid FC Barcelona 1-3 2-6 2 6 \n", "23063 2014-03-23 2013 Real Madrid FC Barcelona 2-2 3-4 3 4 \n", "23646 2015-11-21 2015 Real Madrid FC Barcelona NaN 0-4 0 4 \n", "\n", " tier round group notes \n", "8554 1 league NaN NaN \n", "21205 1 league NaN NaN \n", "23063 1 league NaN NaN \n", "23646 1 league NaN NaN " ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "matches[(matches[\"home\"] == \"Real Madrid\") & (matches[\"visitor\"] == \"FC Barcelona\") & (matches[\"vgoal\"] > 3)]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Картинки" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x11a6760f0>" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXoAAAD8CAYAAAB5Pm/hAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAEdtJREFUeJzt3WFsXed93/Hvr3LmulJhx3BGqLIw+YXaQbYQZyG8bAEG\nql4XrR4mFygMBVkgpx7UF26bdAIGOW+SoRDgF3W6IlkCqHVmDfHCCk4CC3HSztVCGAWWOJbrjZZd\nI0IsJ2JlqW1cJQwCd1L+e8HjlVVl8fJeXh7z4fcDEPec557nPv9H9/Knw8NzDlNVSJLa9RN9FyBJ\nGi+DXpIaZ9BLUuMMeklqnEEvSY0z6CWpcQa9JDXOoJekxhn0ktS4a/ouAOCmm26qbdu2Dd3/hz/8\nIRs3bly5gt7i1tt8wTmvF855eU6cOPGXVfWOpbZ7SwT9tm3beOaZZ4buPzMzw9TU1MoV9Ba33uYL\nznm9cM7Lk+SVQbbz0I0kNc6gl6TGGfSS1DiDXpIaZ9BLUuMMeklqnEEvSY0z6CWpcQa9JDXuLXFl\nrJZndu4C9x58opexTz94Vy/jShqee/SS1DiDXpIaZ9BLUuMMeklqnEEvSY1bMuiT/GSSp5P87yQn\nk/ynrv3GJE8m+Vb3+PZFfR5IcirJS0neN84JSJKubpA9+teBn6+qdwK3A7uTvAc4CByvqu3A8W6d\nJDuAvcCtwG7g00k2jKN4SdLSlgz6WjDfrb6t+ypgD3Ckaz8C3N0t7wGmq+r1qnoZOAXcsaJVS5IG\nNtAx+iQbkjwHnAeerKpvABNVdbbb5FVgolveAnx3UfczXZskqQcDXRlbVZeA25PcAHwpyW2XPV9J\najkDJ9kP7AeYmJhgZmZmOd3/jvn5+ZH6rzUT18GBnRd7Gbuvf+f19h6Dc14vVmPOy7oFQlX9dZKv\nsXDs/VySzVV1NslmFvb2AeaArYu63dy1Xf5ah4HDAJOTkzXKHwReb39Q+JOPPs5Ds/3cveL0B6Z6\nGXe9vcfgnNeL1ZjzIGfdvKPbkyfJdcAvAH8GHAP2dZvtAx7vlo8Be5Ncm+QWYDvw9EoXLkkazCC7\nhZuBI92ZMz8BHK2qLyf5X8DRJPcBrwD3AFTVySRHgReAi8D93aEfSVIPlgz6qvo/wLuu0P5XwJ1v\n0ucQcGjk6iRJI/PKWElqnEEvSY0z6CWpcQa9JDXOoJekxhn0ktQ4g16SGmfQS1LjDHpJapxBL0mN\nM+glqXEGvSQ1rp+bmjdi28Enehn3wM5ehpW0RrlHL0mNM+glqXEGvSQ1zqCXpMYZ9JLUOINekhpn\n0EtS4wx6SWqcQS9JjfPKWC1LX1cDP7J7Yy/jSi1wj16SGrdk0CfZmuRrSV5IcjLJh7v2jyeZS/Jc\n9/WLi/o8kORUkpeSvG+cE5AkXd0gh24uAgeq6tkkPw2cSPJk99zvVNVvL944yQ5gL3Ar8DPAHyf5\n2aq6tJKFS5IGs+QefVWdrapnu+UfAC8CW67SZQ8wXVWvV9XLwCngjpUoVpK0fKmqwTdOtgFPAbcB\n/wH4EHABeIaFvf7XknwK+HpVfa7r8zDw1ap67LLX2g/sB5iYmHj39PT00JOYn59n06ZNQ/cf1uzc\nhVUfE2DiOjj3o16G7s0t12/o5T3uU1+f6z455+XZtWvXiaqaXGq7gc+6SbIJ+ALwkar6fpLPAL8F\nVPf4EPArg75eVR0GDgNMTk7W1NTUoF3/npmZGUbpP6x7e7sf/UUeml1fJ0w9sntjL+9xn/r6XPfJ\nOY/HQGfdJHkbCyH/aFV9EaCqzlXVpar6MfB7/O3hmTlg66LuN3dtkqQeDHLWTYCHgRer6hOL2jcv\n2uyXgOe75WPA3iTXJrkF2A48vXIlS5KWY5Cf/98LfBCYTfJc1/ZR4P1Jbmfh0M1p4FcBqupkkqPA\nCyycsXO/Z9xIUn+WDPqq+hMgV3jqK1fpcwg4NEJdkqQV4pWxktQ4g16SGmfQS1LjDHpJapxBL0mN\nM+glqXEGvSQ1zqCXpMYZ9JLUOINekhpn0EtS4wx6SWqcQS9JjTPoJalxBr0kNc6gl6TGGfSS1DiD\nXpIaZ9BLUuMMeklqnEEvSY0z6CWpcQa9JDXOoJekxl2z1AZJtgL/DZgACjhcVb+b5EbgD4BtwGng\nnqp6revzAHAfcAn4jar6o7FUr3Vjdu4C9x58opexTz94Vy/jSitlkD36i8CBqtoBvAe4P8kO4CBw\nvKq2A8e7dbrn9gK3AruBTyfZMI7iJUlLWzLoq+psVT3bLf8AeBHYAuwBjnSbHQHu7pb3ANNV9XpV\nvQycAu5Y6cIlSYNJVQ2+cbINeAq4DfhOVd3QtQd4rapuSPIp4OtV9bnuuYeBr1bVY5e91n5gP8DE\nxMS7p6enh57E/Pw8mzZtGrr/sGbnLqz6mAAT18G5H/UydG/6nPPOLdf3Mm5fn+s+Oefl2bVr14mq\nmlxquyWP0b8hySbgC8BHqur7C9m+oKoqyeD/Yyz0OQwcBpicnKypqanldP87ZmZmGKX/sPo6Znxg\n50Uemh34rWtCn3M+/YGpXsbt63PdJ+c8HgOddZPkbSyE/KNV9cWu+VySzd3zm4HzXfscsHVR95u7\nNklSD5YM+u6wzMPAi1X1iUVPHQP2dcv7gMcXte9Ncm2SW4DtwNMrV7IkaTkG+Vn4vcAHgdkkz3Vt\nHwUeBI4muQ94BbgHoKpOJjkKvMDCGTv3V9WlFa9ckjSQJYO+qv4EyJs8feeb9DkEHBqhLknSCvHK\nWElqnEEvSY0z6CWpcQa9JDXOoJekxhn0ktQ4g16SGmfQS1LjDHpJapxBL0mNM+glqXEGvSQ1zqCX\npMYZ9JLUOINekhpn0EtS4wx6SWqcQS9JjTPoJalxBr0kNc6gl6TGGfSS1DiDXpIaZ9BLUuOWDPok\nn01yPsnzi9o+nmQuyXPd1y8ueu6BJKeSvJTkfeMqXJI0mEH26B8Bdl+h/Xeq6vbu6ysASXYAe4Fb\nuz6fTrJhpYqVJC3fkkFfVU8B3xvw9fYA01X1elW9DJwC7hihPknSiFJVS2+UbAO+XFW3desfBz4E\nXACeAQ5U1WtJPgV8vao+1233MPDVqnrsCq+5H9gPMDEx8e7p6emhJzE/P8+mTZuG7j+s2bkLqz4m\nwMR1cO5HvQzdmz7nvHPL9b2M29fnuk/OeXl27dp1oqoml9rumqFeHT4D/BZQ3eNDwK8s5wWq6jBw\nGGBycrKmpqaGLAVmZmYYpf+w7j34xKqPCXBg50Uemh32rVub+pzz6Q9M9TJuX5/rPjnn8RjqrJuq\nOldVl6rqx8Dv8beHZ+aArYs2vblrkyT1ZKigT7J50eovAW+ckXMM2Jvk2iS3ANuBp0crUZI0iiV/\nFk7yeWAKuCnJGeBjwFSS21k4dHMa+FWAqjqZ5CjwAnARuL+qLo2ndEnSIJYM+qp6/xWaH77K9oeA\nQ6MUJUlaOV4ZK0mNM+glqXEGvSQ1zqCXpMYZ9JLUOINekhpn0EtS4wx6SWqcQS9JjTPoJalxBr0k\nNc6gl6TGGfSS1DiDXpIaZ9BLUuMMeklqnEEvSY0z6CWpcQa9JDXOoJekxi35x8Gl9W7bwSd6GfeR\n3Rt7GVftcY9ekhpn0EtS45YM+iSfTXI+yfOL2m5M8mSSb3WPb1/03ANJTiV5Kcn7xlW4JGkwg+zR\nPwLsvqztIHC8qrYDx7t1kuwA9gK3dn0+nWTDilUrSVq2JYO+qp4CvndZ8x7gSLd8BLh7Uft0Vb1e\nVS8Dp4A7VqhWSdIQhj3rZqKqznbLrwIT3fIW4OuLtjvTtY3V7NwF7u3pzAhJeqsb+fTKqqoktdx+\nSfYD+wEmJiaYmZkZuoaJ6+DAzotD919r1tt8YX3OeX5+fqTvi7XIOY/HsEF/LsnmqjqbZDNwvmuf\nA7Yu2u7mru3vqarDwGGAycnJmpqaGrIU+OSjj/PQ7Pq5JODAzovrar6wPuf8yO6NjPJ9sRbNzMw4\n5zEY9vTKY8C+bnkf8Pii9r1Jrk1yC7AdeHq0EiVJo1hyFynJ54Ep4KYkZ4CPAQ8CR5PcB7wC3ANQ\nVSeTHAVeAC4C91fVpTHVLkkawJJBX1Xvf5On7nyT7Q8Bh0YpSpK0crwyVpIaZ9BLUuMMeklqnEEv\nSY0z6CWpcQa9JDXOoJekxhn0ktQ4g16SGmfQS1LjDHpJapxBL0mNM+glqXEGvSQ1zqCXpMYZ9JLU\nOINekhpn0EtS4wx6SWqcQS9JjTPoJalx1/RdgKQrm527wL0Hn+hl7NMP3tXLuBoP9+glqXEGvSQ1\nbqRDN0lOAz8ALgEXq2oyyY3AHwDbgNPAPVX12mhlSpKGtRJ79Luq6vaqmuzWDwLHq2o7cLxblyT1\nZByHbvYAR7rlI8DdYxhDkjSgUYO+gD9OciLJ/q5toqrOdsuvAhMjjiFJGkGqavjOyZaqmkvyD4En\ngV8HjlXVDYu2ea2q3n6FvvuB/QATExPvnp6eHrqO89+7wLkfDd19zZm4jnU1X3DOq23nlut7GXd+\nfp5Nmzb1MnZfRpnzrl27Tiw6bP6mRvplbFXNdY/nk3wJuAM4l2RzVZ1Nshk4/yZ9DwOHASYnJ2tq\namroOj756OM8NLt+Lgk4sPPiupovOOfVdvoDU72MOzMzwyhZsBatxpyHPnSTZGOSn35jGfhXwPPA\nMWBft9k+4PFRi5QkDW+U3YUJ4EtJ3nid/15Vf5jkm8DRJPcBrwD3jF6mJGlYQwd9VX0beOcV2v8K\nuHOUoiRJK8crYyWpcQa9JDXOoJekxhn0ktQ4g16SGmfQS1LjDHpJapxBL0mNM+glqXEGvSQ1zqCX\npMYZ9JLUOINekhq3vv6Sg6SBbDv4RC/jPrJ7Yy/jts49eklqnEEvSY0z6CWpcQa9JDXOoJekxhn0\nktQ4g16SGud59JLeMmbnLnBvD+fwn37wrlUfczW5Ry9JjTPoJalxYwv6JLuTvJTkVJKD4xpHknR1\nYzlGn2QD8F+AXwDOAN9McqyqXhjHeJI0ir7u7QOrc3+fce3R3wGcqqpvV9XfANPAnjGNJUm6inEF\n/Rbgu4vWz3RtkqRVlqpa+RdNfhnYXVX/vlv/IPBPq+rXFm2zH9jfrf4c8NIIQ94E/OUI/dea9TZf\ncM7rhXNenn9UVe9YaqNxnUc/B2xdtH5z1/b/VdVh4PBKDJbkmaqaXInXWgvW23zBOa8Xznk8xnXo\n5pvA9iS3JPkHwF7g2JjGkiRdxVj26KvqYpJfA/4I2AB8tqpOjmMsSdLVje0WCFX1FeAr43r9y6zI\nIaA1ZL3NF5zzeuGcx2Asv4yVJL11eAsESWrcmg769XabhSRbk3wtyQtJTib5cN81rZYkG5L8aZIv\n913LakhyQ5LHkvxZkheT/LO+axqnJL/ZfaafT/L5JD/Zd03jkOSzSc4neX5R241Jnkzyre7x7Ss9\n7poN+kW3WfjXwA7g/Ul29FvV2F0EDlTVDuA9wP3rYM5v+DDwYt9FrKLfBf6wqv4x8E4annuSLcBv\nAJNVdRsLJ3Ds7beqsXkE2H1Z20HgeFVtB4536ytqzQY96/A2C1V1tqqe7ZZ/wMI3f/NXHCe5GbgL\n+P2+a1kNSa4H/gXwMEBV/U1V/XW/VY3dNcB1Sa4Bfgr4857rGYuqegr43mXNe4Aj3fIR4O6VHnct\nB/26vs1Ckm3Au4Bv9FvJqvjPwH8Eftx3IavkFuAvgP/aHa76/STjv/NVT6pqDvht4DvAWeBCVf2P\nfqtaVRNVdbZbfhWYWOkB1nLQr1tJNgFfAD5SVd/vu55xSvJvgPNVdaLvWlbRNcA/AT5TVe8CfsgY\nfpx/q+iOSe9h4T+4nwE2Jvl3/VbVj1o4DXLFT4Vcy0G/5G0WWpTkbSyE/KNV9cW+61kF7wX+bZLT\nLBye+/kkn+u3pLE7A5ypqjd+WnuMheBv1b8EXq6qv6iq/wt8EfjnPde0ms4l2QzQPZ5f6QHWctCv\nu9ssJAkLx21frKpP9F3PaqiqB6rq5qraxsJ7/D+rqum9vap6Ffhukp/rmu4EWv5bDt8B3pPkp7rP\n+J00/MvnKzgG7OuW9wGPr/QAa/aPg6/T2yy8F/ggMJvkua7to91VyGrLrwOPdjsx3wY+1HM9Y1NV\n30jyGPAsC2eW/SmNXiGb5PPAFHBTkjPAx4AHgaNJ7gNeAe5Z8XG9MlaS2raWD91IkgZg0EtS4wx6\nSWqcQS9JjTPoJalxBr0kNc6gl6TGGfSS1Lj/BzHhWxJyIsYuAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10ed63208>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "matches[matches[\"home\"] == \"FC Barcelona\"][\"hgoal\"].hist()" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x11a800ac8>" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXoAAAD8CAYAAAB5Pm/hAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11a71e908>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "matches[matches[\"home\"] == \"Real Madrid\"][\"hgoal\"].hist()" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 1 }
bsd-3-clause
alephcero/adsProject
olds/DataAnalysisPlanA.ipynb
1
82434
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# New York University\n", "## Applied Data Science 2016 Final Project\n", "----\n", "## Measuring household income under Redatam in CensusData\n", "\n", "**Project Description:** Lorem ipsum\n", "\n", "**Members:**\n", "- Felipe Gonzales\n", "- Ilan Reinstein\n", "- Fernando Melchor\n", "- Nicolas Metallo" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Libraries" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Populating the interactive namespace from numpy and matplotlib\n" ] } ], "source": [ "import pandas as pd\n", "import numpy as np\n", "import os\n", "import sys\n", "import simpledbf\n", "%pylab inline\n", "import matplotlib.pyplot as plt\n", "import statsmodels.api as sm\n", "from sklearn.model_selection import train_test_split\n", "from sklearn import linear_model" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Functions" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def runModel(dataset, income, varForModel):\n", " \n", " '''\n", " This function takes a data set, runs a model according to specifications,\n", " and returns the model, printing the summary\n", " '''\n", " y = dataset[income].values\n", " X = dataset.loc[:,varForModel].values\n", " X = sm.add_constant(X)\n", "\n", " w = dataset.PONDERA\n", " \n", " lm = sm.WLS(y, X, weights=1. / w, missing = 'drop', hasconst=True).fit()\n", " print lm.summary()\n", " for i in range(1,len(varForModel)+1):\n", " print 'x%d: %s' % (i,varForModel[i-1])\n", " #testing within sample\n", " R_IS=[]\n", " R_OS=[]\n", " #R_prime = []\n", " n=500\n", " \n", " for i in range(n): \n", " X_train, X_test, y_train, y_test = train_test_split(X, y, test_size=0.3, random_state = 200)\n", " X_train = sm.add_constant(X_train)\n", " X_test = sm.add_constant(X_test)\n", " \n", " lm = linear_model.LinearRegression(fit_intercept=True)\n", " lm.fit(X_train, y_train, sample_weight = 1. / w[:len(X_train)])\n", " y_hat_IS = lm.predict(X_train)\n", " err_IS = y_hat_IS - y_train\n", " R2_IS = 1 - (np.var(err_IS) / np.var(y_train))\n", " \n", " y_hat_OS = lm.predict(X_test)\n", " err_OS = y_hat_OS - y_test\n", " R2_OS = 1 - (np.var(err_OS) / np.var(y_test))\n", " \n", " R_IS.append(R2_IS)\n", " R_OS.append(R2_OS)\n", " \n", " print(\"IS R-squared for {} times is {}\".format(n,np.mean(R_IS)))\n", " print(\"OS R-squared for {} times is {}\".format(n,np.mean(R_OS)))\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Get Data" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>PONDERA</th>\n", " <th>HomeType</th>\n", " <th>RoomsNumber</th>\n", " <th>FloorMaterial</th>\n", " <th>RoofMaterial</th>\n", " <th>RoofCoat</th>\n", " <th>Water</th>\n", " <th>WaterType</th>\n", " <th>Toilet</th>\n", " <th>ToiletLocation</th>\n", " <th>...</th>\n", " <th>spouseDECCFR</th>\n", " <th>headDECIFR</th>\n", " <th>spouseDECIFR</th>\n", " <th>headMaritalStatus</th>\n", " <th>spouseMaritalStatus</th>\n", " <th>headReading</th>\n", " <th>spouseReading</th>\n", " <th>headPlaceOfBirth</th>\n", " <th>spouseplaceOfBirth</th>\n", " <th>sumPredicted</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1287</td>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>1.0</td>\n", " <td>NaN</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>6.0</td>\n", " <td>NaN</td>\n", " <td>5.0</td>\n", " <td>NaN</td>\n", " <td>1.0</td>\n", " <td>NaN</td>\n", " <td>1.0</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1674</td>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>6.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>15.469188</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1522</td>\n", " <td>2</td>\n", " <td>4</td>\n", " <td>1.0</td>\n", " <td>NaN</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>5.0</td>\n", " <td>NaN</td>\n", " <td>4.0</td>\n", " <td>NaN</td>\n", " <td>1.0</td>\n", " <td>NaN</td>\n", " <td>3.0</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1320</td>\n", " <td>2</td>\n", " <td>3</td>\n", " <td>1.0</td>\n", " <td>NaN</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>10.0</td>\n", " <td>10.0</td>\n", " <td>10.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>16.235857</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1281</td>\n", " <td>2</td>\n", " <td>4</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>9.0</td>\n", " <td>10.0</td>\n", " <td>10.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>8.336136</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>5 rows × 85 columns</p>\n", "</div>" ], "text/plain": [ " PONDERA HomeType RoomsNumber FloorMaterial RoofMaterial RoofCoat \\\n", "0 1287 2 2 1.0 NaN 1.0 \n", "1 1674 2 2 1.0 1.0 1.0 \n", "2 1522 2 4 1.0 NaN 1.0 \n", "3 1320 2 3 1.0 NaN 1.0 \n", "4 1281 2 4 1.0 1.0 1.0 \n", "\n", " Water WaterType Toilet ToiletLocation ... spouseDECCFR \\\n", "0 1.0 1 1 1.0 ... NaN \n", "1 1.0 1 1 1.0 ... 6.0 \n", "2 1.0 1 1 1.0 ... NaN \n", "3 1.0 1 1 1.0 ... 10.0 \n", "4 1.0 1 1 1.0 ... 9.0 \n", "\n", " headDECIFR spouseDECIFR headMaritalStatus spouseMaritalStatus \\\n", "0 6.0 NaN 5.0 NaN \n", "1 8.0 8.0 2.0 2.0 \n", "2 5.0 NaN 4.0 NaN \n", "3 10.0 10.0 2.0 2.0 \n", "4 10.0 10.0 2.0 2.0 \n", "\n", " headReading spouseReading headPlaceOfBirth spouseplaceOfBirth \\\n", "0 1.0 NaN 1.0 NaN \n", "1 1.0 1.0 2.0 2.0 \n", "2 1.0 NaN 3.0 NaN \n", "3 1.0 1.0 1.0 1.0 \n", "4 1.0 1.0 1.0 3.0 \n", "\n", " sumPredicted \n", "0 NaN \n", "1 15.469188 \n", "2 NaN \n", "3 16.235857 \n", "4 8.336136 \n", "\n", "[5 rows x 85 columns]" ] }, "execution_count": 31, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#data = pd.read_csv('/resources/data/Data Projects/ADS Project/dataFinalParaModelo.csv')\n", "data = pd.read_csv('data/dataFinalParaModelo.csv')\n", "data.head()" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Index([u'PONDERA', u'HomeType', u'RoomsNumber', u'FloorMaterial',\n", " u'RoofMaterial', u'RoofCoat', u'Water', u'WaterType', u'Toilet',\n", " u'ToiletLocation', u'ToiletType', u'Sewer', u'DumpSites', u'Flooding',\n", " u'EmergencyLoc', u'UsableTotalRooms', u'SleepingRooms', u'OfficeRooms',\n", " u'OnlyWork', u'Kitchen', u'Sink', u'Garage', u'Ownership',\n", " u'CookingCombustible', u'BathroomUse', u'Working', u'HouseMembers',\n", " u'Memberless10', u'Membermore10', u'TotalHouseHoldIncome',\n", " u'TotalFamilyIncome', u'TotalFamilyIncomeDec',\n", " u'TotalFamilyIncomeDecReg', u'PerCapInc', u'PerCapIncDec',\n", " u'PerCapIncDecReg', u'CookingRec', u'WaterRec', u'OwnershipRec',\n", " u'Hacinamiento', u'id', u'lnHouseIncome', u'schoolAndJob', u'noJob',\n", " u'job', u'AGLO1', u'headAge', u'spouseAge', u'headAge2', u'spouseAge2',\n", " u'headFemale', u'spouseFemale', u'headEduc', u'spouseEduc',\n", " u'headEduc2', u'spouseEduc2', u'headPrimary', u'spousePrimary',\n", " u'headSecondary', u'spouseSecondary', u'headUniversity',\n", " u'spouseUniversity', u'headP21', u'spouseP21', u'headP47T',\n", " u'spouseP47T', u'headLnIncome', u'spouseLnIncome', u'headLnIncomeT',\n", " u'spouseLnIncomeT', u'headPredictedLnIncome',\n", " u'spousePredictedLnIncome', u'headJob', u'spouseJob', u'headDECCFR',\n", " u'spouseDECCFR', u'headDECIFR', u'spouseDECIFR', u'headMaritalStatus',\n", " u'spouseMaritalStatus', u'headReading', u'spouseReading',\n", " u'headPlaceOfBirth', u'spouseplaceOfBirth', u'sumPredicted'],\n", " dtype='object')" ] }, "execution_count": 32, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data.columns" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Y = \"lnHouseIncome\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Data Exploration (Correlation Matrix)" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABJ4AAAR5CAYAAACMUs/QAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAAkBCeAAAAAEgITwAAAAAk/rDnhTYHaovHAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f5f614802d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import seaborn as sns\n", "sns.set(context=\"paper\", font=\"monospace\")\n", "\n", "corrmat = data.loc[:,varForModel].corr()\n", "f, ax = plt.subplots(figsize=(18, 16))\n", "sns.heatmap(corrmat, vmax=.8, square=True)\n", "f.tight_layout()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Data Analysis (Linear Regression, WLS)" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": true }, "outputs": [], "source": [ "df1 = data.loc[:,'HomeType':'spouseUniversity']\n", "df2 = data.loc[:,'headJob':'spouseJob']\n", "df3 = data.loc[:,'headMaritalStatus':'spouseplaceOfBirth']\n", "\n", "df_lm = pd.concat([df1,df2,df3], axis=1)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Plan A - Base para el Modelo (con R = 0.30)" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": true }, "outputs": [], "source": [ "data['hasSpouse'] = np.where(np.isnan(data.spouseJob.values),0,1)\n", "data['spouseJob'] = np.where(np.isnan(data.spouseJob.values),0,data.spouseJob.values)" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": true }, "outputs": [], "source": [ "data['TotalFamilyIncome'].replace(to_replace=[0], value=[1] , inplace=True, axis=None)\n" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": false }, "outputs": [], "source": [ "data = data[data.TotalFamilyIncomeDecReg != 0]" ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Index([u'PONDERA', u'HomeType', u'RoomsNumber', u'FloorMaterial',\n", " u'RoofMaterial', u'RoofCoat', u'Water', u'WaterType', u'Toilet',\n", " u'ToiletLocation', u'ToiletType', u'Sewer', u'DumpSites', u'Flooding',\n", " u'EmergencyLoc', u'UsableTotalRooms', u'SleepingRooms', u'OfficeRooms',\n", " u'OnlyWork', u'Kitchen', u'Sink', u'Garage', u'Ownership',\n", " u'CookingCombustible', u'BathroomUse', u'Working', u'HouseMembers',\n", " u'Memberless10', u'Membermore10', u'TotalHouseHoldIncome',\n", " u'TotalFamilyIncome', u'TotalFamilyIncomeDec',\n", " u'TotalFamilyIncomeDecReg', u'PerCapInc', u'PerCapIncDec',\n", " u'PerCapIncDecReg', u'CookingRec', u'WaterRec', u'OwnershipRec',\n", " u'Hacinamiento', u'id', u'lnHouseIncome', u'schoolAndJob', u'noJob',\n", " u'job', u'AGLO1', u'headAge', u'spouseAge', u'headAge2', u'spouseAge2',\n", " u'headFemale', u'spouseFemale', u'headEduc', u'spouseEduc',\n", " u'headEduc2', u'spouseEduc2', u'headPrimary', u'spousePrimary',\n", " u'headSecondary', u'spouseSecondary', u'headUniversity',\n", " u'spouseUniversity', u'headP21', u'spouseP21', u'headP47T',\n", " u'spouseP47T', u'headLnIncome', u'spouseLnIncome', u'headLnIncomeT',\n", " u'spouseLnIncomeT', u'headPredictedLnIncome',\n", " u'spousePredictedLnIncome', u'headJob', u'spouseJob', u'headDECCFR',\n", " u'spouseDECCFR', u'headDECIFR', u'spouseDECIFR', u'headMaritalStatus',\n", " u'spouseMaritalStatus', u'headReading', u'spouseReading',\n", " u'headPlaceOfBirth', u'spouseplaceOfBirth', u'sumPredicted',\n", " u'hasSpouse'],\n", " dtype='object')" ] }, "execution_count": 38, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data.columns" ] }, { "cell_type": "code", "execution_count": 39, "metadata": { "collapsed": false }, "outputs": [], "source": [ "data['income_log'] = np.log(data.TotalFamilyIncome)" ] }, { "cell_type": "code", "execution_count": 40, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0" ] }, "execution_count": 40, "metadata": {}, "output_type": "execute_result" } ], "source": [ "(data['TotalFamilyIncome']==0).sum()" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " WLS Regression Results \n", "==============================================================================\n", "Dep. Variable: y R-squared: 0.293\n", "Model: WLS Adj. R-squared: 0.292\n", "Method: Least Squares F-statistic: 220.1\n", "Date: Wed, 07 Dec 2016 Prob (F-statistic): 6.86e-197\n", "Time: 14:00:35 Log-Likelihood: -2766.5\n", "No. Observations: 2658 AIC: 5545.\n", "Df Residuals: 2652 BIC: 5580.\n", "Df Model: 5 \n", "Covariance Type: nonrobust \n", "==============================================================================\n", " coef std err t P>|t| [95.0% Conf. Int.]\n", "------------------------------------------------------------------------------\n", "const 6.5193 0.081 80.238 0.000 6.360 6.679\n", "x1 0.0086 0.001 8.767 0.000 0.007 0.011\n", "x2 0.0655 0.003 20.078 0.000 0.059 0.072\n", "x3 0.4103 0.035 11.744 0.000 0.342 0.479\n", "x4 0.3596 0.035 10.229 0.000 0.291 0.429\n", "x5 0.2190 0.032 6.802 0.000 0.156 0.282\n", "==============================================================================\n", "Omnibus: 70.124 Durbin-Watson: 1.965\n", "Prob(Omnibus): 0.000 Jarque-Bera (JB): 116.312\n", "Skew: -0.232 Prob(JB): 5.53e-26\n", "Kurtosis: 3.914 Cond. No. 363.\n", "==============================================================================\n", "\n", "Warnings:\n", "[1] Standard Errors assume that the covariance matrix of the errors is correctly specified.\n", "x1: headAge\n", "x2: headEduc\n", "x3: headJob\n", "x4: spouseJob\n", "x5: hasSpouse\n", "IS R-squared for 500 times is 0.293217072779\n", "OS R-squared for 500 times is 0.304470103705\n" ] } ], "source": [ "income1 = 'income_log'\n", "income2 = 'TotalFamilyIncome'\n", "income = 'TotalFamilyIncomeDecReg'\n", "\n", "varForModel = [\n", " 'headAge', 'headEduc','headJob', 'spouseJob', 'hasSpouse'\n", " ]\n", "\n", "runModel(data, income1, varForModel)" ] }, { "cell_type": "code", "execution_count": 42, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "headAge 0\n", "headEduc 0\n", "headJob 0\n", "spouseJob 0\n", "hasSpouse 0\n" ] } ], "source": [ "for i in varForModel:\n", " print i, data[i].isnull().sum()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Plan B - Base para el Modelo (con R = 0.42)" ] }, { "cell_type": "code", "execution_count": 43, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "1.0 2292\n", "2.0 365\n", "Name: RoofCoat, dtype: int64" ] }, "execution_count": 43, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data.RoofCoat.value_counts()" ] }, { "cell_type": "code", "execution_count": 44, "metadata": { "collapsed": false }, "outputs": [], "source": [ "data['FloorMaterial'] = np.where(np.isnan(data.FloorMaterial.values),5,data.FloorMaterial.values)\n", "data['sumPredicted'] = np.where(np.isnan(data.sumPredicted.values),0,data.sumPredicted.values)\n", "data['Sewer'] = np.where(np.isnan(data.Sewer.values),5,data.Sewer.values)\n", "data['ToiletType'] = np.where(np.isnan(data.ToiletType.values),4,data.ToiletType.values)\n", "data['Water'] = np.where(np.isnan(data.Water.values),4,data.Water.values)\n", "data['RoofCoat'] = np.where(np.isnan(data.RoofCoat.values),2,data.RoofCoat.values)" ] }, { "cell_type": "code", "execution_count": 45, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "1 2179\n", "2 479\n", "Name: Working, dtype: int64" ] }, "execution_count": 45, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data.Working.value_counts()" ] }, { "cell_type": "code", "execution_count": 46, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "spousePrimary 0.152954\n", "WaterRec 0.163373\n", "headPrimary 0.192579\n", "HouseMembers 0.239568\n", "CookingRec 0.250057\n", "hasSpouse 0.268053\n", "spouseLnIncomeT 0.268757\n", "Membermore10 0.299271\n", "RoomsNumber 0.305293\n", "UsableTotalRooms 0.308522\n", "headJob 0.315838\n", "SleepingRooms 0.318886\n", "spouseJob 0.338919\n", "spousePredictedLnIncome 0.345337\n", "spouseSecondary 0.350372\n", "headSecondary 0.354092\n", "headPredictedLnIncome 0.363371\n", "headUniversity 0.364185\n", "headLnIncomeT 0.381602\n", "spouseLnIncome 0.388686\n", "headEduc 0.406296\n", "sumPredicted 0.415837\n", "headEduc2 0.416498\n", "headLnIncome 0.416832\n", "spouseUniversity 0.427270\n", "spouseEduc 0.428856\n", "spouseEduc2 0.451749\n", "spouseP21 0.469695\n", "spouseP47T 0.485558\n", "job 0.540878\n", "headP21 0.562298\n", "PerCapInc 0.581407\n", "headP47T 0.613738\n", "schoolAndJob 0.649187\n", "headDECCFR 0.707220\n", "PerCapIncDec 0.707220\n", "PerCapIncDecReg 0.707635\n", "TotalHouseHoldIncome 0.804034\n", "TotalFamilyIncome 0.804034\n", "spouseDECCFR 0.839108\n", "income_log 0.963900\n", "lnHouseIncome 0.963900\n", "spouseDECIFR 0.987291\n", "TotalFamilyIncomeDec 0.989017\n", "headDECIFR 0.989017\n", "TotalFamilyIncomeDecReg 1.000000\n", "Name: TotalFamilyIncomeDecReg, dtype: float64" ] }, "execution_count": 46, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data.corr()['TotalFamilyIncomeDecReg'][data.corr()['TotalFamilyIncomeDecReg'] > 0.15].sort_values()" ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " WLS Regression Results \n", "==============================================================================\n", "Dep. Variable: y R-squared: 0.381\n", "Model: WLS Adj. R-squared: 0.379\n", "Method: Least Squares F-statistic: 203.4\n", "Date: Wed, 07 Dec 2016 Prob (F-statistic): 6.70e-269\n", "Time: 14:00:43 Log-Likelihood: -2591.4\n", "No. Observations: 2658 AIC: 5201.\n", "Df Residuals: 2649 BIC: 5254.\n", "Df Model: 8 \n", "Covariance Type: nonrobust \n", "==============================================================================\n", " coef std err t P>|t| [95.0% Conf. Int.]\n", "------------------------------------------------------------------------------\n", "const 6.2900 0.119 52.847 0.000 6.057 6.523\n", "x1 0.1538 0.021 7.349 0.000 0.113 0.195\n", "x2 0.0798 0.010 7.844 0.000 0.060 0.100\n", "x3 0.2175 0.064 3.418 0.001 0.093 0.342\n", "x4 -0.2253 0.032 -7.080 0.000 -0.288 -0.163\n", "x5 0.0076 0.001 7.806 0.000 0.006 0.009\n", "x6 0.0641 0.003 19.097 0.000 0.057 0.071\n", "x7 0.3677 0.033 11.241 0.000 0.304 0.432\n", "x8 0.3689 0.029 12.751 0.000 0.312 0.426\n", "==============================================================================\n", "Omnibus: 134.287 Durbin-Watson: 1.945\n", "Prob(Omnibus): 0.000 Jarque-Bera (JB): 204.235\n", "Skew: -0.438 Prob(JB): 4.48e-45\n", "Kurtosis: 4.037 Cond. No. 586.\n", "==============================================================================\n", "\n", "Warnings:\n", "[1] Standard Errors assume that the covariance matrix of the errors is correctly specified.\n", "x1: SleepingRooms\n", "x2: HouseMembers\n", "x3: WaterRec\n", "x4: CookingCombustible\n", "x5: headAge\n", "x6: headEduc\n", "x7: headJob\n", "x8: spouseJob\n", "IS R-squared for 500 times is 0.394682483736\n", "OS R-squared for 500 times is 0.338540634143\n" ] } ], "source": [ "income1 = 'income_log'\n", "income2 = 'TotalFamilyIncome'\n", "income = 'TotalFamilyIncomeDecReg'\n", "\n", "income = 'income_log'\n", "\n", "varForModel = [\n", " 'SleepingRooms','HouseMembers','WaterRec',\n", " 'CookingCombustible',\n", " \n", "# 'SleepingRooms', 'UsableTotalRooms', 'RoomsNumber', 'HouseMembers', 'WaterRec', # positivas\n", "# 'CookingCombustible', 'FloorMaterial', 'Sewer', 'ToiletType','Sink', 'RoofCoat', 'Water', #negativas\n", "# 'headReading', 'OwnershipRec','WaterType', #sospechoso\n", " 'headAge', 'headEduc','headJob', 'spouseJob' #base\n", " ]\n", "\n", "runModel(data, income, varForModel)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# MODELOS FELIPE PROBANDO" ] }, { "cell_type": "code", "execution_count": 48, "metadata": { "collapsed": true }, "outputs": [], "source": [ "data['TotalFamilyIncome'].replace(to_replace=[0], value=[1] , inplace=True, axis=None)\n" ] }, { "cell_type": "code", "execution_count": 49, "metadata": { "collapsed": false }, "outputs": [], "source": [ "data['income_logPer'] = np.log(data.PerCapInc)" ] }, { "cell_type": "code", "execution_count": 112, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Flooding 0.108231\n", "spousePrimary 0.122330\n", "OwnershipRec 0.126776\n", "WaterRec 0.132485\n", "headPrimary 0.147454\n", "HouseMembers 0.181875\n", "hasSpouse 0.203178\n", "CookingRec 0.217917\n", "Membermore10 0.246261\n", "headJob 0.246625\n", "spouseLnIncomeT 0.280361\n", "headPredictedLnIncome 0.286899\n", "spouseJob 0.304031\n", "SleepingRooms 0.307961\n", "headSecondary 0.312949\n", "spousePredictedLnIncome 0.314176\n", "RoomsNumber 0.316654\n", "spouseSecondary 0.319981\n", "UsableTotalRooms 0.320164\n", "headLnIncome 0.339666\n", "headLnIncomeT 0.349351\n", "sumPredicted 0.360143\n", "spouseLnIncome 0.363158\n", "headEduc 0.378242\n", "headUniversity 0.386140\n", "headEduc2 0.403212\n", "spouseEduc 0.417591\n", "spouseEduc2 0.454361\n", "spouseUniversity 0.462501\n", "job 0.470682\n", "headDECCFR 0.607559\n", "PerCapIncDec 0.607559\n", "PerCapIncDecReg 0.615880\n", "schoolAndJob 0.616160\n", "spouseP21 0.645548\n", "income_logPer 0.651651\n", "headP21 0.667581\n", "spouseP47T 0.669564\n", "PerCapInc 0.692921\n", "spouseDECCFR 0.702022\n", "headP47T 0.755165\n", "spouseDECIFR 0.766633\n", "TotalFamilyIncomeDec 0.790339\n", "headDECIFR 0.790339\n", "TotalFamilyIncomeDecReg 0.804034\n", "income_log 0.846533\n", "lnHouseIncome 0.846533\n", "TotalFamilyIncome 1.000000\n", "TotalHouseHoldIncome 1.000000\n", "Name: TotalFamilyIncome, dtype: float64" ] }, "execution_count": 112, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data.corr()['TotalFamilyIncome'][data.corr()['TotalFamilyIncome'] > 0.10].sort_values()" ] }, { "cell_type": "code", "execution_count": 51, "metadata": { "collapsed": true }, "outputs": [], "source": [ "data['haciBool'] = (data.Hacinamiento > 3).astype(int)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# MODELO 1" ] }, { "cell_type": "code", "execution_count": 130, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " WLS Regression Results \n", "==============================================================================\n", "Dep. Variable: y R-squared: 0.346\n", "Model: WLS Adj. R-squared: 0.345\n", "Method: Least Squares F-statistic: 468.2\n", "Date: Wed, 07 Dec 2016 Prob (F-statistic): 3.76e-244\n", "Time: 21:06:49 Log-Likelihood: -25307.\n", "No. Observations: 2658 AIC: 5.062e+04\n", "Df Residuals: 2654 BIC: 5.065e+04\n", "Df Model: 3 \n", "Covariance Type: nonrobust \n", "==============================================================================\n", " coef std err t P>|t| [95.0% Conf. Int.]\n", "------------------------------------------------------------------------------\n", "const -688.8471 181.426 -3.797 0.000 -1044.597 -333.097\n", "x1 292.9684 14.989 19.545 0.000 263.577 322.360\n", "x2 1777.3222 61.817 28.751 0.000 1656.108 1898.536\n", "x3 -1408.5292 292.023 -4.823 0.000 -1981.145 -835.913\n", "==============================================================================\n", "Omnibus: 2193.060 Durbin-Watson: 1.926\n", "Prob(Omnibus): 0.000 Jarque-Bera (JB): 126655.123\n", "Skew: 3.501 Prob(JB): 0.00\n", "Kurtosis: 36.084 Cond. No. 53.0\n", "==============================================================================\n", "\n", "Warnings:\n", "[1] Standard Errors assume that the covariance matrix of the errors is correctly specified.\n", "x1: headEduc\n", "x2: job\n", "x3: haciBool\n", "IS R-squared for 500 times is 0.324940249663\n", "OS R-squared for 500 times is 0.367547173218\n" ] } ], "source": [ "incomePer1 = 'PerCapInc'\n", "incomePer2 = 'income_logPer'\n", "incomePer3 = 'PerCapIncDecReg'\n", "income1 = 'TotalFamilyIncome'\n", "income2 = 'income_log'\n", "income3 = 'TotalFamilyIncomeDecReg'\n", "\n", "\n", "varForModel = [\n", "'headEduc',\n", " #'CookingRec',\n", " #'schoolAndJob',\n", " #'WaterRec',\n", " #'OwnershipRec',\n", " 'job', \n", " #'noJob',\n", " #'SleepingRooms',\n", " 'haciBool',\n", " #'Hacinamiento'\n", "]\n", "\n", "runModel(data, income1, varForModel)\n", "#si uso per tengo que comentar sleeping y hacibool\n", "#runModel(data, incomePer2, varForModel)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Para CABA" ] }, { "cell_type": "code", "execution_count": 225, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " WLS Regression Results \n", "==============================================================================\n", "Dep. Variable: y R-squared: 0.436\n", "Model: WLS Adj. R-squared: 0.429\n", "Method: Least Squares F-statistic: 59.80\n", "Date: Wed, 07 Dec 2016 Prob (F-statistic): 2.14e-89\n", "Time: 21:33:22 Log-Likelihood: -7536.3\n", "No. Observations: 785 AIC: 1.509e+04\n", "Df Residuals: 774 BIC: 1.515e+04\n", "Df Model: 10 \n", "Covariance Type: nonrobust \n", "==============================================================================\n", " coef std err t P>|t| [95.0% Conf. Int.]\n", "------------------------------------------------------------------------------\n", "const -5052.0109 2168.009 -2.330 0.020 -9307.885 -796.137\n", "x1 5.7797 48.182 0.120 0.905 -88.802 100.362\n", "x2 871.2333 467.858 1.862 0.063 -47.188 1789.654\n", "x3 324.3238 37.638 8.617 0.000 250.440 398.208\n", "x4 2391.4984 1277.249 1.872 0.062 -115.785 4898.782\n", "x5 398.2116 366.623 1.086 0.278 -321.482 1117.905\n", "x6 -2365.3000 576.274 -4.104 0.000 -3496.546 -1234.054\n", "x7 -448.1668 331.417 -1.352 0.177 -1098.749 202.416\n", "x8 1358.6949 516.094 2.633 0.009 345.585 2371.805\n", "x9 -2021.1824 1387.140 -1.457 0.145 -4744.185 701.820\n", "x10 786.8896 514.600 1.529 0.127 -223.287 1797.067\n", "==============================================================================\n", "Omnibus: 673.291 Durbin-Watson: 1.935\n", "Prob(Omnibus): 0.000 Jarque-Bera (JB): 40939.384\n", "Skew: 3.465 Prob(JB): 0.00\n", "Kurtosis: 37.693 Cond. No. 482.\n", "==============================================================================\n", "\n", "Warnings:\n", "[1] Standard Errors assume that the covariance matrix of the errors is correctly specified.\n", "x1: headEduc\n", "x2: CookingRec\n", "x3: schoolAndJob\n", "x4: WaterRec\n", "x5: OwnershipRec\n", "x6: job\n", "x7: noJob\n", "x8: SleepingRooms\n", "x9: haciBool\n", "x10: Hacinamiento\n", "IS R-squared for 500 times is 0.438609106767\n", "OS R-squared for 500 times is 0.355431153812\n" ] } ], "source": [ "varForModel = [\n", "'headEduc','job', \n", " 'SleepingRooms',\n", "\n", " 'schoolAndJob',\n", " #'Hacinamiento'\n", "]\n", "\n", "\n", "dataCaba = data.loc[data.AGLO1 == 32,:]\n", "runModel(dataCaba, 'TotalFamilyIncome', varForModel)\n", "#si uso per tengo que comentar sleeping y hacibool\n", "#runModel(data, incomePer2, varForModel)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 173, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "headFemale -0.170442\n", "spouseplaceOfBirth -0.165554\n", "Garage -0.155483\n", "headPlaceOfBirth -0.130169\n", "Sink -0.118291\n", "Ownership -0.117215\n", "OfficeRooms -0.111855\n", "headAge2 -0.104317\n", "RoofMaterial -0.100135\n", "BathroomUse -0.097633\n", "Kitchen -0.093201\n", "HomeType -0.092322\n", "noJob -0.088053\n", "haciBool -0.082325\n", "ToiletLocation -0.081201\n", "headAge -0.078962\n", "Water -0.076476\n", "CookingCombustible -0.062990\n", "ToiletType -0.058658\n", "spouseAge2 -0.048889\n", "RoofCoat -0.037759\n", "Sewer -0.037503\n", "Toilet -0.025897\n", "spouseFemale -0.025176\n", "id -0.015920\n", "spouseAge -0.011854\n", "PONDERA 0.002111\n", "Flooding 0.010874\n", "spouseMaritalStatus 0.016505\n", "FloorMaterial 0.020874\n", " ... \n", "headLnIncome 0.350050\n", "spouseEduc 0.352180\n", "spouseLnIncomeT 0.361750\n", "spousePredictedLnIncome 0.365929\n", "spouseEduc2 0.376133\n", "spouseUniversity 0.384851\n", "spouseJob 0.391375\n", "spouseLnIncome 0.406646\n", "headLnIncomeT 0.418621\n", "sumPredicted 0.423010\n", "job 0.540605\n", "PerCapIncDec 0.549037\n", "headDECCFR 0.549037\n", "PerCapIncDecReg 0.559491\n", "spouseDECCFR 0.626715\n", "schoolAndJob 0.627046\n", "income_logPer 0.633924\n", "PerCapInc 0.668324\n", "headP21 0.676819\n", "spouseDECIFR 0.693593\n", "spouseP21 0.694394\n", "spouseP47T 0.734489\n", "headDECIFR 0.753806\n", "TotalFamilyIncomeDec 0.753806\n", "headP47T 0.760988\n", "TotalFamilyIncomeDecReg 0.766296\n", "lnHouseIncome 0.854564\n", "income_log 0.854564\n", "TotalFamilyIncome 1.000000\n", "TotalHouseHoldIncome 1.000000\n", "Name: TotalFamilyIncome, dtype: float64" ] }, "execution_count": 173, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dataCaba.corr()['TotalFamilyIncome'][dataCaba.corr()['TotalFamilyIncome'] >-0.2].sort_values()" ] }, { "cell_type": "code", "execution_count": 56, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "2658" ] }, "execution_count": 56, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data.AGLO1.value_counts().sum()" ] }, { "cell_type": "code", "execution_count": 57, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(2658, 89)" ] }, "execution_count": 57, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data.shape" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# **OLS PERFORMANCE COMPARISON**" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "y = data[income].values\n", "X = data.loc[:,varForModel]\n", "X1 = sm.add_constant(X)\n", "\n", "X_train, X_test, y_train, y_test = train_test_split(X, y, test_size=0.3, random_state = 200)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "Number_variables=range(len(X_train.columns[:]))\n", "\n", "# #select best lambda for Ridge\n", "# lambdas = np.exp(np.linspace(-5,13,200))\n", "# lambda_r_optimal=Regularization_fit_lambda(1,X_train,y_train,lambdas,p=0.4,Graph=True)\n", "\n", "# #select lambdas for Lasso \n", "# lambdas=np.exp(np.linspace(-5,6.5,200))\n", "# lambda_l_optimal=Regularization_fit_lambda(2,X_train,y_train,lambdas,p=0.4,Graph=True)\n", "\n", "OLS_R_2_OS_F=[]\n", "OLS_R_2_IS_F=[]\n", "OLS_R_2_Ridge_OS_F=[]\n", "OLS_R_2_Ridge_IS_F=[]\n", "OLS_R_2_Lasso_OS_F=[]\n", "OLS_R_2_Lasso_IS_F=[]\n", "\n", "Ridge=linear_model.Ridge(fit_intercept=True,alpha=1)\n", "Lasso=linear_model.Lasso(fit_intercept=True, alpha=1)\n", "\n", "for j in Number_variables:\n", " # OLS\n", " lm = sm.OLS(formula = 'Y ~ '+ '+'.join(X_train.columns[:j+1]), \n", " data = pd.concat([X_train.iloc[:,:j+1],y_train], axis=1)).fit()\n", " error = lm.predict(X_test.iloc[:,:j+1]) - y_test\n", " R_2_OS_OLS=1-error.var()/y_test.var()\n", " R_2_IS_OLS = lm.rsquared\n", " OLS_R_2_IS_F.append(R_2_IS_OLS)\n", " OLS_R_2_OS_F.append(max(R_2_OS_OLS,0))\n", " \n", " # Ridge\n", " Ridge.fit(X_train.iloc[:,:j+1],y_train)\n", " \n", " # In sample:\n", " err_IS=Ridge.predict(X_train.iloc[:,:j+1]) - y_train\n", " R_2_IS_Ridge=1-np.var(err_IS)/np.var(y_train)\n", " OLS_R_2_Ridge_IS_F.append(R_2_IS_Ridge)\n", " \n", " #Out of sample\n", " err_OS=Ridge.predict(X_test.iloc[:,:j+1]) - y_test\n", " R_2_OS_Ridge=1-np.var(err_OS)/np.var(y_test)\n", " OLS_R_2_Ridge_OS_F.append(max(R_2_OS_Ridge,0))\n", "\n", " # Lasso\n", " \n", " Lasso.fit(X_train.iloc[:,0:j+1],y_train)\n", " \n", " #In sample:\n", " p_IS=Lasso.predict(X_train.iloc[:,0:j+1])\n", " err_IS=p_IS-y_train\n", " R_2_IS_Lasso=1-np.var(err_IS)/np.var(y_train)\n", " OLS_R_2_Lasso_IS_F.append(R_2_IS_Lasso)\n", "\n", " #Out of sample\n", " p_OS=Lasso.predict(X_test.iloc[:,0:j+1])\n", " err_OS=p_OS-y_test\n", " R_2_OS_Lasso=1-np.var(err_OS)/np.var(y_test)\n", " OLS_R_2_Lasso_OS_F.append(max(R_2_OS_Lasso,0))\n", "\n", "\n", "pylab.rcParams['figure.figsize'] = [14,10]\n", "\n", "plt.title('OS performance of OLS when we subsequently add variables')\n", "\n", "plt.plot(Number_variables,OLS_R_2_IS_F,'g',label='OLS_IS')\n", "plt.plot(Number_variables,OLS_R_2_Lasso_IS_F,'y',label='Lasso_IS')\n", "plt.plot(Number_variables,OLS_R_2_Ridge_IS_F,'k',label='Ridge_IS')\n", "\n", "plt.plot(Number_variables,OLS_R_2_OS_F,'b',label='OLS_OS')\n", "plt.plot(Number_variables,OLS_R_2_Lasso_OS_F,'c',label='Lasso_OS')\n", "plt.plot(Number_variables,OLS_R_2_Ridge_OS_F,'r',label='Ridge_OS')\n", "\n", "plt.legend(loc='lower right')\n", "plt.xlabel('Number of independent variables')\n", "plt.ylabel('R-squared')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python [Root]", "language": "python", "name": "Python [Root]" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" }, "widgets": { "state": {}, "version": "1.1.2" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
Rlee13/pyPLY
docs/examples/GraphiteEpoxy3LayersPolarPlot.ipynb
1
53312
{ "metadata": { "name": "GraphiteEpoxy3LayersPolarPlot" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "raw", "metadata": {}, "source": [ "# Example of polar plot for GraphiteEpoxy (3x layers)\n", "# with dashed lines the theoretical quasi-isotropic equivalent (TQIE = min value in all directions)\n", "\n", "Start by importing the required modules:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "import pyPLY\n", "import math\n", "import matplotlib.pyplot as plt" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "raw", "metadata": {}, "source": [ "# Define the material. Although here the extended parameters are defined they are not required.\n" ] }, { "cell_type": "code", "collapsed": false, "input": [ "GraphiteEpoxy = pyPLY.CompositeMaterial()\n", "GraphiteEpoxy.define(\"GraphiteEpoxy\", \"metric\", E11=170e9, E22=10e9, G12=13e9, niu12=0.3, thk=0.125e-3,\n", " Sigma_ut0 = 1500e6, Sigma_uc0 = 1200e6, Sigma_ut90 = 50e6, Sigma_uc90 = 100e6, Tau_u = 75e6,\n", " alpha_11 = -0.9e-6, alpha_22 = 27.0e-6, beta_11 = 150e-6, beta_22 = 4800e-6)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "raw", "metadata": {}, "source": [ "# Initialize the required lists, 'ex' (the Young Modulus in x-direction), 'ey' (the Young Modulus in y-direction), 'angle' (a list of angles from 0 to 360)." ] }, { "cell_type": "code", "collapsed": false, "input": [ "ex = []\n", "ey = []\n", "laminaAngle = [] \n", "laminaAngle.append(0)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "raw", "metadata": {}, "source": [ "# Define and calculate lamina's parameters. Lamina is made from three layers of GraphiteEpoxy with 0,+/-60 orientation." ] }, { "cell_type": "code", "collapsed": false, "input": [ "layer100 = pyPLY.Lamina()\n", "layer100.define(\"Layer_1\", GraphiteEpoxy, 0)\n", "layer100.update()\n", "layer200 = pyPLY.Lamina()\n", "layer200.define(\"Layer_2\", GraphiteEpoxy, -60)\n", "layer200.update()\n", "layer300 = pyPLY.Lamina()\n", "layer300.define(\"Layer_3\", GraphiteEpoxy, 60)\n", "layer300.update()\n", "laminate3 = pyPLY.Laminate()\n", "laminate3.add_Lamina(layer100)\n", "laminate3.add_Lamina(layer200)\n", "laminate3.add_Lamina(layer300)\n", "laminate3.update()\n", "ex.append(laminate3.Ex)\n", "ey.append(laminate3.Ey)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "raw", "metadata": {}, "source": [ "# Calculate lamina's parameters in 0 to 360 degree space." ] }, { "cell_type": "code", "collapsed": false, "input": [ "for i in range(2, 362, 2):\n", " layer100.change_Angle_Lamina(i)\n", " layer100.update()\n", " layer200.change_Angle_Lamina(i - 60)\n", " layer200.update()\n", " layer300.change_Angle_Lamina(i + 60)\n", " layer300.update()\n", " laminate3.update()\n", " ex.append(laminate3.Ex)\n", " ey.append(laminate3.Ey)\n", " laminaAngle.append(math.radians(i))" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "# This line configures matplotlib to show figures embedded in the notebook, instead of poping up a new window. \n", "# Uncomment the line below if you started IPython Notebook with pylab support (recommended under Windows). \n", "# %pylab inline" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "raw", "metadata": {}, "source": [ "# Plot the results" ] }, { "cell_type": "code", "collapsed": false, "input": [ "length = len(laminaAngle)\n", "exmin = [min(ex)] * length\n", "plt.polar(laminaAngle, ex, 'b-', label = '(3x) layers 0,-60,60')\n", "plt.polar(laminaAngle, exmin, 'b--', label = '(3x) layers TQIE = ' + str(exmin[0]))\n", "eymin = [min(ey)] * length\n", "plt.polar(laminaAngle, ey, 'c-', label = '(3x) layers')\n", "plt.polar(laminaAngle, eymin, 'c--', label = '(3x) layers TQIE = ' + str(eymin[0]))\n", "\n", "plt.title(r'Ex GraphiteEpoxy (3x) layers')\n", "plt.axhline(0)\n", "plt.axvline(0)\n", "\n", "plt.legend(loc=\"upper right\", bbox_to_anchor=(1.5,1.07))\n", "leg = plt.gca().get_legend()\n", "ltext = leg.get_texts()\n", "plt.setp(ltext, fontsize='small')\n", "\n", "plt.show()\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAARMAAAEWCAYAAABFZHMLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nXQ6uDDAAAAAASUVORK5CYII=\n" } ], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 7 } ], "metadata": {} } ] }
lgpl-2.1
m-walters/mcmd
rodplot.ipynb
1
435499
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "import numpy as np\n", "import os\n", "import matplotlib.pyplot as plt\n", "import matplotlib.patches as pypatch\n", "import time\n", "%matplotlib inline\n", "\n", "cos, sin = np.cos, np.sin\n", "twopi = np.pi*2.0" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "import time\n", "\n", "def time_usage(func):\n", " def wrapper(*args, **kwargs):\n", " beg_ts = time.time()\n", " retval = func(*args, **kwargs)\n", " end_ts = time.time() \n", " print(\"elapsed time: %f\" % (end_ts - beg_ts))\n", " return retval\n", " return wrapper" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "def plotLine(x1,y1,x2,y2,c='b',ax=None):\n", " if ax: # given axis handle\n", " ax.plot([x1, x2], [y1, y2], color=c, linestyle='-', linewidth=0.4);\n", " else:\n", " plt.gca().plot([x1, x2], [y1, y2], color=c, linestyle='-', linewidth=0.4);" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "scrolled": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAeYAAAHVCAYAAAAkfVjMAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x2b9900a5c250>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# To view a single unprocessed snap\n", "f = plt.figure(frameon=False);\n", "plt.xticks([]);\n", "plt.yticks([]);\n", "plt.gcf().set_size_inches(8,8);\n", "\n", "imgnames = []\n", "bSave = 0\n", "holefactor = 0.\n", "source_dir = \"/home/walterms/project/walterms/circ_mcmd/output/defects/\"\n", "# imgnames = os.listdir(source_dir)\n", "# tmp = []\n", "# for im in imgnames:\n", "# if not im.endswith(\"log\") and im.endswith(\"0.5\"):\n", "# tmp.append(im)\n", "# imgnames = tmp\n", "\n", "imgnames = [\"minushalf\"]\n", "\n", "imgdir = \"/home/walterms/project/walterms/mcmd/imgs/\"\n", "fRot = 0\n", "if imgnames[0].startswith(\"U\"):\n", " fRot = 2\n", "halfL = 1.0/2\n", "\n", "iSnap = -1\n", "\n", "for imgname in imgnames:\n", " f.clf();\n", "\n", " # Count num blocks\n", " Nblock = 0\n", "# # iSnap = -1\n", " dfile = open(source_dir+imgname, \"r\")\n", " for line in dfile.readlines():\n", " if line == \"\\n\": Nblock+=1\n", " dfile.seek(0)\n", " if iSnap == -1: iSnap = Nblock-1\n", "\n", "\n", " if not (dfile.readline()[0].isalpha()): dfile.seek(0)\n", " cntSnap = 0\n", " for line in dfile.readlines():\n", " if cntSnap == iSnap:\n", " if line == \"\\n\" or line.startswith(\"label\"): break\n", " l = [float(x) for x in line.split()]\n", " x,y,th = l[2],l[3],l[4]\n", " # Note th=0 is along the y-axis\n", " x1 = x - halfL*sin(th)\n", " y1 = y + halfL*cos(th)\n", " x2 = x + halfL*sin(th)\n", " y2 = y - halfL*cos(th)\n", "\n", " # Rotations\n", " th_ = fRot*np.pi*0.5\n", " x_ = cos(th_)*x - sin(th_)*y\n", " y_ = sin(th_)*x + cos(th_)*y\n", " x1_ = cos(th_)*x1 - sin(th_)*y1\n", " y1_ = sin(th_)*x1 + cos(th_)*y1\n", " x2_ = cos(th_)*x2 - sin(th_)*y2\n", " y2_ = sin(th_)*x2 + cos(th_)*y2 \n", "\n", " plotLine(x1_,y1_,x2_,y2_, c=\"b\");\n", " # T: c=(0,0.6,0.9)\n", " # X: c=(0,0.8,0.9)\n", " # D: c=(0.5,0.5,1.0)\n", " # U: c=(0.5,0.8,1.0)\n", " # colorpick from jeff: #c2dbf1ff\n", "\n", " else: \n", " if line == \"\\n\": cntSnap+=1\n", " # For circles\n", " dfile.seek(0)\n", " ln = dfile.readline().split(\"|\")\n", " edge = 0.\n", " holerad = 0.\n", " for s in ln:\n", " if \"boxEdge\" in s:\n", " edge = float(s.split()[1])\n", " \n", " if \"defect_radius\" in s:\n", " holerad = float(s.split()[1])\n", " radius = edge/2.\n", "# boundary = plt.Rectangle((-radius,-radius),edge,edge,color='k',fill=False,zorder=2);\n", " boundary = plt.Circle((0, 0), radius, color='k', fill=False);\n", " innercirc = plt.Circle((0, 0), holerad, color='seagreen', linestyle=\"--\", fill=False, linewidth=2.0);\n", " dfile.close()\n", " plt.gca().add_artist(boundary);\n", " plt.gca().add_artist(innercirc);\n", "# plt.gca().axis('off');\n", "\n", " # For adding winding numbers\n", " wcol = \"dodgerblue\" # dodgerblue, seagreen, gold\n", " wx, wy = (-radius*0.72,radius*0.7), (-radius*0.7,-radius*0.7) # For U\n", "# wx, wy = (-radius*0.65,radius*0.72), (-radius*0.75,-radius*0.62) # For D\n", "# wx, wy = (-radius*0.05,radius*0.73), (radius*0.,radius*0.73) # For T\n", "# wx, wy = [radius*0.], [radius*0.] # For X\n", "# plt.scatter(wx,wy,s=1600,edgecolor='k',facecolor=wcol,zorder=3)\n", "\n", " dr = radius*0.\n", " plt.axis([-radius-dr,radius+dr,-radius-dr,radius+dr])\n", "# plt.gca().set_aspect(\"equal\")\n", "# plt.subplots_adjust(top = 1, bottom = 0, right = 1, left = 0, \n", "# hspace = 0.1, wspace = 0.1)\n", "# plt.margins(0.01,0.01)\n", "# plt.gca().xaxis.set_major_locator(plt.NullLocator())\n", "# plt.gca().yaxis.set_major_locator(plt.NullLocator())\n", "# plt.gca().set_axis_off()\n", " \n", " if bSave:\n", " savename = imgdir+imgname+\"_\"+str(iSnap)+\".eps\"\n", " print \"Saving file \",savename\n", " f.savefig(savename,pad_inches=0)\n", "# f.savefig(savename,pad_inches=0,bbox_inches='tight')" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "initialized dicts\n", "36 rods in rodtrack\n", "1999 blocks\n", "0\n" ] }, { "ename": "KeyboardInterrupt", "evalue": "", "output_type": "error", "traceback": [ "\u001b[0;31m\u001b[0m", "\u001b[0;31mKeyboardInterrupt\u001b[0mTraceback (most recent call last)", "\u001b[0;32m<ipython-input-21-796accce2433>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 71\u001b[0m \u001b[0mspt\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mline\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0msplit\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 72\u001b[0m \u001b[0mrid\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mspt\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;36m0\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m---> 73\u001b[0;31m \u001b[0;32mif\u001b[0m \u001b[0mrid\u001b[0m \u001b[0;32min\u001b[0m \u001b[0mrids\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 74\u001b[0m \u001b[0mrod\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;34m[\u001b[0m\u001b[0mfloat\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0md\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;32mfor\u001b[0m \u001b[0md\u001b[0m \u001b[0;32min\u001b[0m \u001b[0mspt\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;36m2\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;36m5\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 75\u001b[0m \u001b[0mrodtrack\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0mrid\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;36m0\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0mnbl\u001b[0m\u001b[0;34m]\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mrod\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;36m0\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;31mKeyboardInterrupt\u001b[0m: " ] } ], "source": [ "## Analyze movement of rods\n", "fname = \"/home/walterms/project/walterms/mcmd/output/T\"\n", "\n", "dfile = open(fname,\"r\")\n", "# Find edge/radius\n", "dfile.seek(0)\n", "ln = dfile.readline().split(\"|\")\n", "edge = 0.\n", "cellNx = 0\n", "bUncrossIncl = 0\n", "for s in ln:\n", " if \"cellNx\" in s:\n", " cellNx = int(s.split()[1])\n", " if \"boxEdge\" in s:\n", " edge = float(s.split()[1])\n", " if \"printUncross\" in s:\n", " bUncrossIncl = int(s.split()[1])\n", "radius = edge/2.\n", "\n", "deficit = -2\n", "Nbl = deficit # Subtract for init img\n", " \n", "for line in dfile.readlines():\n", " if line == \"\\n\":\n", " Nbl+=1\n", "dfile.seek(0)\n", "\n", "rodtrack = {}\n", "cellHaveDict = {}\n", "for i in range(cellNx**2):\n", " cellHaveDict.update({i:0})\n", "\n", "dfile.readline()\n", "nbl = deficit\n", "rids = []\n", "for line in dfile.readlines():\n", " if line==\"\\n\": \n", " if nbl < 1:\n", " nbl+=1\n", " continue\n", " if nbl == 0: break\n", " if nbl == 0:\n", " spt = line.split()\n", " rid = int(spt[0])\n", " cell = int(spt[1])\n", " if cellHaveDict[cell]: continue\n", " else: \n", " cellHaveDict[cell] = 1\n", " rids.append(rid)\n", " rod = [float(d) for d in spt[2:5]]\n", " rodtrack.update({rid:np.zeros(shape=(3,Nbl))})\n", "\n", "print \"initialized dicts\"\n", "print len(rodtrack),\" rods in rodtrack\"\n", "print Nbl, \" blocks\"\n", "\n", "dfile.seek(0)\n", "dfile.readline()\n", "nbl = deficit\n", "for line in dfile.readlines():\n", " if nbl < 0:\n", " if line == \"\\n\":\n", " nbl+=1\n", " continue\n", "\n", " if line == \"\\n\":\n", " if nbl%1000==0:\n", " print nbl\n", " nbl+=1\n", " continue\n", " spt = line.split()\n", " rid = int(spt[0])\n", " if rid in rids:\n", " rod = [float(d) for d in spt[2:5]]\n", " rodtrack[rid][0,nbl] = rod[0]\n", " rodtrack[rid][1,nbl] = rod[1]\n", " rodtrack[rid][2,nbl] = rod[2]\n", " \n", "dfile.close()\n", "print \"Done\"" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "f = plt.figure();\n", "# plt.xticks([]);\n", "# plt.yticks([]);\n", "\n", "for ri in range(10):\n", " rid = rids[ri]\n", " t = [tt for tt in range(Nbl)]\n", " xs = [v for v in rodtrack[rid][0]]\n", " ys = [v for v in rodtrack[rid][1]]\n", "\n", " x1 = xs[0]\n", " y1 = ys[0]\n", " for i in range(1,Nbl):\n", " x2 = xs[i]\n", " y2 = ys[i]\n", " plotLine(x1,y1,x2,y2);\n", " x1 = x2\n", " y1 = y2\n", " \n", "\n", "# Draw path as series of rods\n", "radius = edge/2.\n", "# Plot box\n", "# plotLine(-radius, -radius, radius,-radius,c=\"k\")\n", "# plotLine(radius, -radius, radius,radius,c=\"k\")\n", "# plotLine(radius, radius, -radius,radius,c=\"k\")\n", "# plotLine(-radius, radius, -radius,-radius,c=\"k\")\n", "\n", "# boundary = plt.Rectangle((-radius,-radius),edge,edge,color='k',fill=False);\n", "boundary = plt.Circle((0, 0), radius, color='k', fill=False);\n", "# plt.gca().add_artist(boundary);\n", "# plt.gca().axis('off');\n", "plt.gcf().set_size_inches(8,8);\n" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [], "source": [ "# Calculate dxs\n", "deltas = np.zeros(shape=(4,Nbl-1))\n", "last = rodtrack[0][:,0]\n", "i = 0 \n", "for i in range(Nbl-1):\n", " deltas[0,i] = rodtrack[0][0,i+1] - last[0]\n", " deltas[1,i] = rodtrack[0][1,i+1] - last[1]\n", " deltas[2,i] = rodtrack[0][2,i+1] - last[2]\n", " deltas[3,i] = np.sqrt(deltas[0,i]*deltas[0,i] + deltas[1,i]*deltas[1,i])\n", " last = rodtrack[0][:,i+1]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.hist(deltas[1,:],bins=20)" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[0.5, 1.5]\n" ] } ], "source": [ "a = [0.,1.]\n", "b = [0.5,0.5]\n", "c = [aa+bb for aa,bb in zip(a,b)]\n", "print c" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "tmp()" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Category is spiral\n", "Working on uncrossed...\n", "15.00\n", "16.00\n", "17.00\n", "Working on init...\n", "15.00\n", "16.00\n", "17.00\n", "Working on processed...\n", "15.00\n", "16.00\n", "17.00\n", "Done\n" ] }, { "data": { "text/plain": [ "<matplotlib.figure.Figure at 0x2b2df8638b10>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "es = [\"15.00\",\"16.00\",\"17.00\"]\n", "ns = [str(i) for i in [45,50,60,70]]\n", "ths = [\"0.00\",\"0.05\",\"0.10\",\"0.15\"]\n", "\n", "# Types with their snap idx\n", "types = {\"init\": 0, \"uncrossed\": 1, \"processed\": -1}\n", "category = \"spiral\"\n", "print \"Category is \"+category\n", "\n", "f = plt.figure();\n", "plt.xticks([]);\n", "plt.yticks([]);\n", "\n", "\n", "for typ in types:\n", " print \"Working on \"+typ+\"...\"\n", " for e in es:\n", " print e\n", " for n in ns:\n", " for th in ths:\n", " # To view a single unprocessed snap\n", " imgname = category+\"_e\"+e+\"_n\"+n+\"_th\"+th\n", " source_dir = \"/home/walterms/project/walterms/circ_mcmd/output/\"\n", " savedir = \"/home/walterms/project/walterms/circ_mcmd/imgs/\"+category+\"/\"+typ+\"/\"\n", " iSnap = types[typ]\n", " tag = typ\n", " halfL = 1.0/2\n", "\n", " # Count num blocks\n", " Nblock = 0\n", " dfile = open(source_dir+imgname, \"r\")\n", " for line in dfile.readlines():\n", " if line == \"\\n\": Nblock+=1\n", " dfile.seek(0)\n", " if iSnap == -1: iSnap = Nblock-1\n", "\n", "\n", " if not (dfile.readline()[0].isalpha()): dfile.seek(0)\n", " cntSnap = 0\n", " for line in dfile.readlines():\n", " if cntSnap == iSnap:\n", " if line == \"\\n\" or line.startswith(\"label\"): break\n", " l = [float(x) for x in line.split()]\n", " x,y,th = l[2],l[3],l[4]\n", " # Note th=0 is along the y-axis\n", " x1 = x - halfL*sin(th)\n", " y1 = y + halfL*cos(th)\n", " x2 = x + halfL*sin(th)\n", " y2 = y - halfL*cos(th)\n", "\n", " plotLine(x1,y1,x2,y2);\n", "\n", " else: \n", " if line == \"\\n\": cntSnap+=1\n", " # For circles\n", " dfile.seek(0)\n", " ln = dfile.readline().split(\"|\")\n", " edge = 0.\n", " for s in ln:\n", " if \"boxEdge\" in s:\n", " edge = float(s.split()[1])\n", " radius = edge/2.\n", " # boundary = plt.Rectangle((-radius,-radius),edge,edge,color='k',fill=False);\n", " boundary = plt.Circle((0, 0), radius, color='k', fill=False);\n", " dfile.close()\n", " plt.gca().add_artist(boundary);\n", " plt.gca().axis('off');\n", " plt.gcf().set_size_inches(8,8);\n", " # plt.show()\n", "\n", " # f = plt.gcf()\n", " f.savefig(savedir+imgname+\".png\")\n", " f.clf();\n", "print \"Done\"" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# For reading processed snaps\n", "run1 = \"xjam\"\n", "run2 = \"mixed\"\n", "source_dir = \"/home/walterms/project/walterms/mcmd/nn/fnn/data/mixed/train/\"\n", "dfile1 = open(source_dir+run1, \"r\")\n", "dfile2 = open(source_dir+run2, \"r\")\n", "Snaps = np.arange(2000,4000,4)\n", "nsnap = len(Snaps)\n", "\n", "d1, d2 = [], []\n", "frot1, frot2 = 1, 0\n", "th1s = []\n", "th2s = []\n", "\n", "cntSnap = 0\n", "for line in dfile1.readlines():\n", " if line == \"\\n\": \n", " cntSnap+=1\n", " continue\n", " if line.startswith(\"label\"): \n", " continue\n", " if cntSnap in Snaps:\n", " l = [float(x) for x in line.split()]\n", " th_ = frot1*0.25\n", " th = l[2]+th_\n", " if th>1.: th-=1.\n", " th1s.append(th)\n", "dfile1.close()\n", "\n", "cntSnap = 0\n", "for line in dfile2.readlines():\n", " if line == \"\\n\": \n", " cntSnap+=1\n", " continue\n", " if line.startswith(\"label\"): \n", " continue\n", " if cntSnap in Snaps:\n", " if line == \"\\n\": cntSnap+=1\n", " l = [float(x) for x in line.split()]\n", " th_ = frot2*0.25\n", " th = l[2]+th_\n", " if th>1.: th-=1.\n", " th2s.append(th)\n", "dfile2.close()" ] }, { "cell_type": "code", "execution_count": 19, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAs4AAAE9CAYAAAAbAuQGAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x2aedb9fa1ed0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# For reading two processed files:\n", "# A given file run2 and its fedforward result run1\n", "# Careful with feedskip, seqlen, batchsize etc.\n", "# To compare same iSnaps, just set seq_len to 0\n", "\n", "run1 = \"/home/walterms/project/walterms/mcmd/nn/data/train/xjam_0.1\"\n", "run2 = \"/scratch/walterms/mcmd/output/xmelt/processed/xmelt_test\"\n", "dfile1 = open(run1, \"r\")\n", "dfile2 = open(run2, \"r\")\n", "\n", "# xmelt has boxlength of 6 -> rod length 1/6\n", "edge1 = 3.5\n", "edge2 = 6.0\n", "halfL1 = 0.5*(1/edge1)\n", "halfL2 = 0.5*(1/edge2)\n", "\n", "iSnap_1 = 10\n", "\n", "feedskip = 1\n", "seq_len = 1\n", "\n", "# Find appropriate snap in OG file\n", "iSnap_2 = iSnap_1 + feedskip*seq_len\n", "\n", "img1, img2 = [], []\n", "\n", "cntSnap = 0\n", "for line in dfile1.readlines():\n", " if line.startswith(\"label\"): continue\n", " if cntSnap == iSnap_1:\n", " if line == \"\\n\": break\n", " l = [float(x) for x in line.split()]\n", " img1.append([l[0],l[1],l[2]])\n", " else: \n", " if line == \"\\n\": cntSnap+=1\n", " else: continue\n", "dfile1.close()\n", "\n", "cntSnap = 0\n", "for line in dfile2.readlines():\n", " if line.startswith(\"label\"): continue\n", " if cntSnap == iSnap_2:\n", " if line == \"\\n\": break\n", " l = [float(x) for x in line.split()]\n", " img2.append([l[0],l[1],l[2]])\n", " else: \n", " if line == \"\\n\": cntSnap+=1\n", " else: continue\n", "dfile2.close()\n", "\n", "f,ax = plt.subplots(1,2,figsize=(12,5.2))\n", "# plt.xticks([])\n", "# plt.yticks([])\n", "\n", "\n", "for rod in img1:\n", " x,y = rod[0],rod[1]\n", " th = rod[2]\n", " th *= twopi\n", " x1 = x - halfL1*sin(th)\n", " y1 = y + halfL1*cos(th)\n", " x2 = x + halfL1*sin(th)\n", " y2 = y - halfL1*cos(th)\n", "\n", " plotLine(x1,y1,x2,y2,ax=ax[0])\n", "\n", "for rod in img2:\n", " x,y = rod[0],rod[1]\n", " th = rod[2]\n", " th *= twopi\n", " x1 = x - halfL2*sin(th)\n", " y1 = y + halfL2*cos(th)\n", " x2 = x + halfL2*sin(th)\n", " y2 = y - halfL2*cos(th)\n", "\n", " plotLine(x1,y1,x2,y2,ax=ax[1])\n", "\n", "# For circles\n", "radius = 0.5\n", "boundary1 = pypatch.Rectangle((-radius,-radius),1.,1.,color='k',fill=False)\n", "boundary2 = pypatch.Rectangle((-radius,-radius),1.,1.,color='k',fill=False)\n", "ax[0].add_patch(boundary1)\n", "ax[1].add_patch(boundary2)\n", "# ax[0].axis('off')\n", "# ax[1].axis('off')\n", "ax[0].figure.canvas.draw()\n", "ax[1].figure.canvas.draw()\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "f,ax = plt.subplots(2,2,figsize=(12,8.2))\n", "xs1,ys1,th1,xs2,ys2,th2=[],[],[],[],[],[]\n", "for i in range(400):\n", " xs1.append(d1[i][1])\n", " ys1.append(d1[i][2])\n", " th1.append(d1[i][0])\n", " xs2.append(d2[i][1])\n", " ys2.append(d2[i][2])\n", " th2.append(d2[i][0])\n", "ax[0,0].hist([xs1,xs2], color=['k','c'])\n", "ax[0,1].hist([ys1,ys2], color=['k','r'])\n", "ax[1,0].hist([th1,th2], color=['k','m'])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# To view a processed theta-avg image compared with its rodplot\n", "run = \"bigbox1\"\n", "run_th = run+\"_th\"\n", "source_dir = \"/home/walterms/project/walterms/mcmd/nn/tffnn/data/mixed/train/\"\n", "iSnap = 1\n", "cntSnap = 0\n", "\n", "# for rodplot\n", "# width = 15.\n", "# halfL = 3.0/2.*1./width\n", "# jams\n", "width = 3.5\n", "halfL = 1.0/2.*1./width\n", "\n", "f,ax = plt.subplots(1,2)\n", "for a in ax:\n", " a.set_xticks([])\n", " a.set_yticks([])\n", "\n", "# Theta plot\n", "dfile_th = open(source_dir+run_th, \"r\")\n", "ntheta = len(dfile_th.readline().split())\n", "gridx = int(np.sqrt(ntheta))\n", "gridy = gridx\n", "dfile_th.seek(0)\n", "dx,dy = 1./gridx, 1./gridy\n", "for line in dfile_th.readlines():\n", " if cntSnap > iSnap: break\n", " if cntSnap == iSnap:\n", " l = [float(x) for x in line.split()]\n", " for ix in range(gridx):\n", " for iy in range(gridy):\n", " i = int(gridx*ix + iy)\n", " th = l[i]\n", " if th == 0.: continue\n", " x,y = dx*ix+dx/2., dy*iy+dy/2.\n", " # Note th=0 is along the y-axis\n", " x1 = x - (dx/2.)*sin(th*twopi) \n", " y1 = y + (dy/2.)*cos(th*twopi)\n", " x2 = x + (dx/2.)*sin(th*twopi)\n", " y2 = y - (dy/2.)*cos(th*twopi)\n", " \n", " plotLine(x1,y1,x2,y2,ax=ax[1])\n", " cntSnap += 1\n", " else: \n", " if line == \"\\n\": cntSnap+=1\n", "dfile_th.close()\n", "\n", "# Paint gridlines\n", "for ix in range(gridx+1):\n", " x1 = dx*ix\n", " y1 = 0.\n", " y2 = 1.\n", " x2 = x1\n", " plotLine(x1,y1,x2,y2,ax=ax[0],c=\"dimgrey\")\n", " plotLine(x1,y1,x2,y2,ax=ax[1],c=\"dimgrey\")\n", "for iy in range(gridy+1):\n", " x1 = 0.\n", " y1 = dy*iy\n", " y2 = y1\n", " x2 = 1.\n", " plotLine(x1,y1,x2,y2,ax=ax[0],c=\"dimgrey\")\n", " plotLine(x1,y1,x2,y2,ax=ax[1],c=\"dimgrey\")\n", "\n", "# Rodplot\n", "dfile = open(source_dir+run, \"r\")\n", "if not (dfile.readline()[0].isalpha()): dfile.seek(0)\n", "cntSnap = 0\n", "for line in dfile.readlines():\n", " if cntSnap == iSnap:\n", " if line == \"\\n\" or line.startswith(\"label\"): break\n", " l = [float(x) for x in line.split()]\n", " x,y,th = l[0],l[1],l[2]\n", " xi = int(x/dx)\n", " yi = int(y/dy)\n", " i = gridx*xi + yi\n", " # Note th=0 is along the y-axis\n", " x1 = x - halfL*sin(th*twopi) \n", " y1 = y + halfL*cos(th*twopi)\n", " x2 = x + halfL*sin(th*twopi)\n", " y2 = y - halfL*cos(th*twopi)\n", " \n", " ax[0].plot(x,y,'r.')\n", " plotLine(x1,y1,x2,y2,ax=ax[0])\n", " else: \n", " if line == \"\\n\": cntSnap+=1\n", "dfile.close()\n", "\n", "plt.gcf().set_size_inches(12.5,6)" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAX8AAAFpCAYAAAB0yyjhAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n+Ttw4MDBNsT/DzXz15V63xm1AAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x2afd70c547d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# To view a single processed snap\n", "run = \"T\"\n", "source_dir = \"/home/walterms/project/walterms/mcmd/nn/data/train/\"\n", "iSnap = 8\n", "fRot = 0\n", "width = 6.23\n", "halfL = 1.0/2.*1./width\n", "\n", "f = plt.figure()\n", "# a = plt.Axes(f, [0., 0., 1., 1.])\n", "# a.set_axis_off()\n", "# f.add_axes(a)\n", "# plt.xlim(-w/2,w/2)\n", "# plt.ylim(-h/2,h/2)\n", "# plt.xticks([])\n", "# plt.yticks([])\n", "\n", "\n", "dfile = open(source_dir+run, \"r\")\n", "if not (dfile.readline()[0].isalpha()): dfile.seek(0)\n", "cntSnap = 0\n", "for line in dfile.readlines():\n", " if cntSnap == iSnap:\n", " if line == \"\\n\" or line.startswith(\"label\"): break\n", " l = [float(x) for x in line.split()]\n", " x,y,th = l[0],l[1],l[2]\n", " # Note th=0 is along the y-axis\n", " x1 = x - halfL*sin(th*twopi) \n", " y1 = y + halfL*cos(th*twopi)\n", " x2 = x + halfL*sin(th*twopi)\n", " y2 = y - halfL*cos(th*twopi)\n", " \n", " # Rotations\n", " th_ = fRot*np.pi*0.5\n", " x_ = cos(th_)*x - sin(th_)*y\n", " y_ = sin(th_)*x + cos(th_)*y\n", " x1_ = cos(th_)*x1 - sin(th_)*y1\n", " y1_ = sin(th_)*x1 + cos(th_)*y1\n", " x2_ = cos(th_)*x2 - sin(th_)*y2\n", " y2_ = sin(th_)*x2 + cos(th_)*y2\n", "\n", " plotLine(x1_,y1_,x2_,y2_)\n", " else: \n", " if line == \"\\n\": cntSnap+=1\n", "dfile.close()\n", "plt.gcf().set_size_inches(6,6)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "diag1 = ['15.00', '15.48', '15.74', '16.30', '16.92', '17.26']\n", "diag2 = ['15.12', '15.24', '16.01', '16.60']" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "def Lambda(thetas):\n", " s, c, = 0.,0.\n", " for t in thetas:\n", " s += sin(2.*t)\n", " c += cos(2.*t)\n", " s /= float(len(thetas))\n", " c /= float(len(thetas))\n", " return np.sqrt(s*s + c*c)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# For following a rod\n", "run = \"djam2\"\n", "source_dir = \"/home/walterms/project/walterms/mcmd/djam/\"\n", "\n", "xs,ys,ths=[],[],[]\n", "irod = 200\n", "cntSnap = 0\n", "nSnaps = -1\n", "\n", "dfile = open(source_dir+run, \"r\")\n", "if not (dfile.readline()[0].isalpha()): dfile.seek(0)\n", "for line in dfile.readlines():\n", " if line == \"\\n\": \n", " cntSnap+=1\n", " continue\n", " l = [float(x) for x in line.split()]\n", " if int(l[0]) == irod:\n", " # Record xytheta\n", " xs.append(l[2])\n", " ys.append(l[3])\n", " ths.append(l[4])\n", " if cntSnap == nSnaps:\n", " break\n", "\n", "dfile.close()\n", "print \"Done\"" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# To calculate lambda on unprocessed snaps\n", "run = \"X_L04_real\"\n", "source_dir = \"/home/walterms/project/walterms/mcmd/output/\"\n", "w = 16.\n", "iSnap = 200\n", "fRot = 0\n", "\n", "dfile = open(source_dir+run, \"r\")\n", "if not (dfile.readline()[0].isalpha()): dfile.seek(0)\n", "cntSnap = 0\n", "thetas = []\n", "lambdas = []\n", "for line in dfile.readlines():\n", " if cntSnap < iSnap and cntSnap > 1:\n", " if line == \"\\n\" or line.startswith(\"label\"):\n", " lambdas.append(Lambda(thetas))\n", " thetas = []\n", " cntSnap += 1\n", " continue\n", " l = [float(x) for x in line.split()]\n", " th = l[4]\n", " \n", " # Rotations\n", " th_ = fRot*np.pi*0.5\n", " th += th_\n", " if th > 2.*np.pi: th-=2.*np.pi\n", " thetas.append(th)\n", "\n", " else: \n", " if line == \"\\n\": cntSnap+=1\n", " \n", "print \"Done\"\n", "dfile.close()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.plot(lambdas)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# To prep imgs for tfrecords \n", "# Read in data\n", "edges = []\n", "edgefile = open(\"../edgelist\",\"r\")\n", "for e in edgefile.readlines():\n", " edges.append(e.strip())\n", "\n", "N = 400\n", "L = 3.0\n", "rho_ = [L*L*N/(float(x)*float(x)) for x in edges]\n", "\n", "unlabeledfnames = [\"edge\"+str(x) for x in edges]\n", "print unlabeledfnames\n", "\n", "run = \"edge15\"\n", "source_dir = \"/home/walterms/project/walterms/mcmd/output/edgevar/\"\n", "imgdir = source_dir+\"imgs/\"+run+\"/\"\n", "\n", "# Try and make the dir\n", "try:\n", " os.mkdir(imgdir)\n", " print \"Creating new dir: \" + imgdir\n", "except OSError as e:\n", " print \"Dir already exists: \" + imgdir\n", " pass\n", "dfile = open(source_dir+run, \"r\")\n", "\n", "Nbl = 0\n", "for line in dfile.readlines():\n", " if line == \"\\n\":\n", " Nbl+=1\n", "dfile.seek(0)\n", "dfile.close()\n", "print \"Nbl\", Nbl\n", "\n", "smin = 0 # Minimum should be 1\n", "smax = Nbl\n", "print \"Snaplist ranges \" + str(smin) + \" to \" + str(smax)\n", "snaplist = range(smin,smax) # Note minimum should be 1" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "genImgs()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "@time_usage\n", "def genImgs():\n", " print \"Processing images \" + str(smin) + \" to \" + str(smax) + \" of run \" +run+ \" into\"\n", " print imgdir\n", " nPerTar = 500\n", " print str(nPerTar) + \" imgs per tar file\"\n", " \n", " h = w\n", " f = plt.figure(figsize=(128./86,128./86), dpi=86, frameon=False)\n", " a = plt.Axes(f, [0., 0., 1., 1.])\n", " a.set_axis_off()\n", " f.add_axes(a)\n", " plt.xlim(-w/2,w/2)\n", " plt.ylim(-h/2,h/2)\n", " plt.xticks([])\n", " plt.yticks([])\n", "\n", " # reads a line, if it is not alphabetic, go back to top\n", " dfile = open(source_dir+run, \"r\")\n", " if not (dfile.readline()[0].isalpha()): dfile.seek(0)\n", " bSave = True\n", " cntSnap = 0\n", " tarQ = 0 # counts how many for current tar\n", " fRot = 0\n", " for line in dfile.readlines():\n", " if cntSnap in snaplist:\n", " if line == \"\\n\":\n", " f.savefig(imgdir+str(cntSnap)+\".png\");\n", " if cntSnap!=snaplist[-1]:\n", " del plt.gca().lines[:]\n", " else:\n", " nPerTar = (cntSnap+1)%nPerTar\n", " tarQ = nPerTar-1\n", "# if (cntSnap-smin)%((smax-smin)/100)==0: print cntSnap\n", " cntSnap+=1\n", " tarQ+=1\n", " fRot = (fRot+1)%4\n", " if tarQ == nPerTar:\n", " first = cntSnap - nPerTar\n", " last = cntSnap - 1\n", " fname = str(first)+\"_\"+str(last)+\".tar.gz\"\n", " print \"Writing \" + fname\n", " with tarfile.open(imgdir+fname,'w:gz') as tarf:\n", " for i in range(first,last+1):\n", " tarf.add(imgdir+str(i)+\".png\", arcname=str(i)+\".png\")\n", " os.remove(imgdir+str(i)+\".png\")\n", " tarQ = 0\n", " continue\n", " l = [float(x) for x in line.split()]\n", " th_ = fRot*np.pi*0.5\n", " x1 = np.cos(th_)*l[5] - np.sin(th_)*l[6]\n", " y1 = np.sin(th_)*l[5] + np.cos(th_)*l[6]\n", " x2 = np.cos(th_)*l[7] - np.sin(th_)*l[8]\n", " y2 = np.sin(th_)*l[7] + np.cos(th_)*l[8]\n", " plotLine(x1,y1,x2,y2)\n", "# plotLine(l[5],l[6],l[7],l[8])\n", " else: \n", " if line == \"\\n\": cntSnap+=1\n", " else: continue\n", " dfile.close()\n", " f = plt.gcf()\n", " # plt.gcf().set_size_inches(8,8)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "f.savefig(source_dir+run+str(w)+\".png\");" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.14" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
charlielito/supervised-basico-admission-exams
python-sample.ipynb
2
4493151
null
mit
talespaiva/folium
examples/Features.ipynb
1
337930
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# This is to import the repository's version of folium ; not the installed one.\n", "import sys, os\n", "sys.path.insert(0,'..')" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import branca\n", "import folium\n", "from folium import features" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## ColorLine" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "lats = 20 * np.cos(np.linspace(0, 2*np.pi, 300))\n", "lons = 20 * np.sin(np.linspace(0, 2*np.pi, 300))\n", "colors = np.sin(5 * np.linspace(0, 2*np.pi, 300))" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"width:100%;\"><div style=\"position:relative;width:100%;height:0;padding-bottom:60%;\"><iframe src=\"data:text/html;base64,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\" style=\"position:absolute;width:100%;height:100%;left:0;top:0;\"></iframe></div></div>" ], "text/plain": [ "<folium.folium.Map at 0x7fda1985d048>" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "m = folium.Map([0, 0], zoom_start=3)\n", "color_line = features.ColorLine(\n", " list(zip(lats, lons)),\n", " colors=colors,\n", " colormap=['y', 'orange', 'r'],\n", " weight=10)\n", "color_line.add_to(m)\n", "m" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### WMS" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"width:100%;\"><div style=\"position:relative;width:100%;height:0;padding-bottom:60%;\"><iframe src=\"data:text/html;base64,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\" style=\"position:absolute;width:100%;height:100%;left:0;top:0;\"></iframe></div></div>" ], "text/plain": [ "<folium.folium.Map at 0x7fda1985db38>" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "m = folium.Map([40,-100], zoom_start=4)\n", "w = features.WmsTileLayer(\"http://mesonet.agron.iastate.edu/cgi-bin/wms/nexrad/n0r.cgi\",\n", " name='test',\n", " format='image/png',\n", " layers='nexrad-n0r-900913',\n", " attr=u\"Weather data © 2012 IEM Nexrad\",\n", " transparent=True)\n", "w.add_to(m)\n", "m" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Marker, Icon, Popup" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<iframe src=\"data:text/html;base64,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\" width=\"480px\" height=\"480px\"></iframe>" ], "text/plain": [ "<branca.element.Figure at 0x7fda197c4470>" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "f = branca.element.Figure(figsize=(8,8))\n", "m = folium.Map([0,0], zoom_start=1)\n", "mk = features.Marker([0,0])\n", "pp = features.Popup(\"hello\")\n", "ic = features.Icon(color='red')\n", "\n", "f.add_children(m)\n", "mk.add_children(ic)\n", "mk.add_children(pp)\n", "m.add_children(mk)\n", "\n", "f" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### RegularPolygonMarker" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"width:100%;\"><div style=\"position:relative;width:100%;height:0;padding-bottom:60%;\"><iframe src=\"data:text/html;base64,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\" style=\"position:absolute;width:100%;height:100%;left:0;top:0;\"></iframe></div></div>" ], "text/plain": [ "<branca.element.Figure at 0x7fda197c44e0>" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "f = branca.element.Figure()\n", "m = folium.Map([0,0], zoom_start=1)\n", "mk = features.RegularPolygonMarker([0,0])\n", "mk2 = features.RegularPolygonMarker([0,45])\n", "\n", "f.add_children(m)\n", "m.add_children(mk)\n", "m.add_children(mk2)\n", "\n", "f" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Vega stuff" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"width:100%;\"><div style=\"position:relative;width:100%;height:0;padding-bottom:60%;\"><iframe src=\"data:text/html;base64,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\" style=\"position:absolute;width:100%;height:100%;left:0;top:0;\"></iframe></div></div>" ], "text/plain": [ "<branca.element.Figure at 0x7fda196ddcf8>" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import vincent, json\n", "import numpy as np\n", "N=100\n", "multi_iter2 = {'x' : np.random.uniform(size=(N,)),\n", " 'y' : np.random.uniform(size=(N,)),\n", " }\n", "scatter = vincent.Scatter(multi_iter2, iter_idx='x', height=100, width=200)\n", "data = json.loads(scatter.to_json())\n", "\n", "f = branca.element.Figure()\n", "m = folium.Map([0,0], zoom_start=1)\n", "mk = features.Marker([0,0])\n", "p = features.Popup(\"Hello\")\n", "v = features.Vega(data, width=\"100%\", height=\"100%\")\n", "f.add_children(m)\n", "mk.add_children(p)\n", "p.add_children(v)\n", "m.add_children(mk)\n", "\n", "f" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Vega div" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"width:100%;\"><div style=\"position:relative;width:100%;height:0;padding-bottom:60%;\"><iframe src=\"data:text/html;base64,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\" style=\"position:absolute;width:100%;height:100%;left:0;top:0;\"></iframe></div></div>" ], "text/plain": [ "<branca.element.Figure at 0x7fda197235f8>" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import vincent, json\n", "import numpy as np\n", "N=100\n", "multi_iter2 = {'x' : np.random.uniform(size=(N,)),\n", " 'y' : np.random.uniform(size=(N,)),\n", " }\n", "scatter = vincent.Scatter(multi_iter2, iter_idx='x', height=400, width=600)\n", "data = json.loads(scatter.to_json())\n", "\n", "f = branca.element.Figure()\n", "v = features.Vega(data, height=40, width=600)\n", "f.add_children(v)\n", "\n", "f" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### A div and a Map\n" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"width:100%;\"><div style=\"position:relative;width:100%;height:0;padding-bottom:60%;\"><iframe src=\"data:text/html;base64,PCFET0NUWVBFIGh0bWw+CjxoZWFkPiAgICAKICAgIDxtZXRhIGh0dHAtZXF1aXY9ImNvbnRlbnQtdHlwZSIgY29udGVudD0idGV4dC9odG1sOyBjaGFyc2V0PVVURi04IiAvPgogICAgPHNjcmlwdCBzcmM9Imh0dHBzOi8vY2RuanMuY2xvdWRmbGFyZS5jb20vYWpheC9saWJzL2xlYWZsZXQvMC43LjMvbGVhZmxldC5qcyI+PC9zY3JpcHQ+CiAgICA8c2NyaXB0IHNyYz0iaHR0cHM6Ly9jb2RlLmpxdWVyeS5jb20vanF1ZXJ5LTIuMS4wLm1pbi5qcyI+PC9zY3JpcHQ+CiAgICA8c2NyaXB0IHNyYz0iaHR0cHM6Ly9tYXhjZG4uYm9vdHN0cmFwY2RuLmNvbS9ib290c3RyYXAvMy4yLjAvanMvYm9vdHN0cmFwLm1pbi5qcyI+PC9zY3JpcHQ+CiAgICA8c2NyaXB0IHNyYz0iaHR0cHM6Ly9jZG5qcy5jbG91ZGZsYXJlLmNvbS9hamF4L2xpYnMvTGVhZmxldC5hd2Vzb21lLW1hcmtlcnMvMi4wLjIvbGVhZmxldC5hd2Vzb21lLW1hcmtlcnMubWluLmpzIj48L3NjcmlwdD4KICAgIDxzY3JpcHQgc3JjPSJodHRwczovL2NkbmpzLmNsb3VkZmxhcmUuY29tL2FqYXgvbGlicy9sZWFmbGV0Lm1hcmtlcmNsdXN0ZXIvMC40LjAvbGVhZmxldC5tYXJrZXJjbHVzdGVyLXNyYy5qcyI+PC9zY3JpcHQ+CiAgICA8c2NyaXB0IHNyYz0iaHR0cHM6Ly9jZG5qcy5jbG91ZGZsYXJlLmNvbS9hamF4L2xpYnMvbGVhZmxldC5tYXJrZXJjbHVzdGVyLzAuNC4wL2xlYWZsZXQubWFya2VyY2x1c3Rlci5qcyI+PC9zY3JpcHQ+CiAgICA8bGluayByZWw9InN0eWxlc2hlZXQiIGhyZWY9Imh0dHBzOi8vY2RuanMuY2xvdWRmbGFyZS5jb20vYWpheC9saWJzL2xlYWZsZXQvMC43LjMvbGVhZmxldC5jc3MiIC8+CiAgICA8bGluayByZWw9InN0eWxlc2hlZXQiIGhyZWY9Imh0dHBzOi8vbWF4Y2RuLmJvb3RzdHJhcGNkbi5jb20vYm9vdHN0cmFwLzMuMi4wL2Nzcy9ib290c3RyYXAubWluLmNzcyIgLz4KICAgIDxsaW5rIHJlbD0ic3R5bGVzaGVldCIgaHJlZj0iaHR0cHM6Ly9tYXhjZG4uYm9vdHN0cmFwY2RuLmNvbS9ib290c3RyYXAvMy4yLjAvY3NzL2Jvb3RzdHJhcC10aGVtZS5taW4uY3NzIiAvPgogICAgPGxpbmsgcmVsPSJzdHlsZXNoZWV0IiBocmVmPSJodHRwczovL21heGNkbi5ib290c3RyYXBjZG4uY29tL2ZvbnQtYXdlc29tZS80LjEuMC9jc3MvZm9udC1hd2Vzb21lLm1pbi5jc3MiIC8+CiAgICA8bGluayByZWw9InN0eWxlc2hlZXQiIGhyZWY9Imh0dHBzOi8vY2RuanMuY2xvdWRmbGFyZS5jb20vYWpheC9saWJzL0xlYWZsZXQuYXdlc29tZS1tYXJrZXJzLzIuMC4yL2xlYWZsZXQuYXdlc29tZS1tYXJrZXJzLmNzcyIgLz4KICAgIDxsaW5rIHJlbD0ic3R5bGVzaGVldCIgaHJlZj0iaHR0cHM6Ly9jZG5qcy5jbG91ZGZsYXJlLmNvbS9hamF4L2xpYnMvbGVhZmxldC5tYXJrZXJjbHVzdGVyLzAuNC4wL01hcmtlckNsdXN0ZXIuRGVmYXVsdC5jc3MiIC8+CiAgICA8bGluayByZWw9InN0eWxlc2hlZXQiIGhyZWY9Imh0dHBzOi8vY2RuanMuY2xvdWRmbGFyZS5jb20vYWpheC9saWJzL2xlYWZsZXQubWFya2VyY2x1c3Rlci8wLjQuMC9NYXJrZXJDbHVzdGVyLmNzcyIgLz4KICAgIDxsaW5rIHJlbD0ic3R5bGVzaGVldCIgaHJlZj0iaHR0cHM6Ly9yYXdnaXQuY29tL3B5dGhvbi12aXN1YWxpemF0aW9uL2ZvbGl1bS9tYXN0ZXIvZm9saXVtL3RlbXBsYXRlcy9sZWFmbGV0LmF3ZXNvbWUucm90YXRlLmNzcyIgLz4KICAgIDxzdHlsZT5odG1sLCBib2R5IHt3aWR0aDogMTAwJTtoZWlnaHQ6IDEwMCU7bWFyZ2luOiAwO3BhZGRpbmc6IDA7fTwvc3R5bGU+CiAgICA8c3R5bGU+I21hcCB7cG9zaXRpb246YWJzb2x1dGU7dG9wOjA7Ym90dG9tOjA7cmlnaHQ6MDtsZWZ0OjA7fTwvc3R5bGU+CiAgICAKICAgICAgICAgICAgPHN0eWxlPiAjbWFwX2RjNTE1NGMzZTBmZjQyNjNhOGZjN2RiOTI1NjM0N2M0IHsKICAgICAgICAgICAgICAgIHBvc2l0aW9uIDogYWJzb2x1dGU7CiAgICAgICAgICAgICAgICB3aWR0aCA6IDUwLjAlOwogICAgICAgICAgICAgICAgaGVpZ2h0OiA1MC4wJTsKICAgICAgICAgICAgICAgIGxlZnQ6IDAuMCU7CiAgICAgICAgICAgICAgICB0b3A6IDAuMCU7CiAgICAgICAgICAgICAgICB9CiAgICAgICAgICAgIDwvc3R5bGU+CiAgICAgICAgCiAgICAKICAgICAgICAgICAgPHN0eWxlPiAjbWFwX2E0YTE1NTc1MTUyODQ0MGVhNTRhNDBjOWU4MDU2NDhmIHsKICAgICAgICAgICAgICAgIHBvc2l0aW9uIDogYWJzb2x1dGU7CiAgICAgICAgICAgICAgICB3aWR0aCA6IDUwLjAlOwogICAgICAgICAgICAgICAgaGVpZ2h0OiA1MC4wJTsKICAgICAgICAgICAgICAgIGxlZnQ6IDUwLjAlOwogICAgICAgICAgICAgICAgdG9wOiA1MC4wJTsKICAgICAgICAgICAgICAgIH0KICAgICAgICAgICAgPC9zdHlsZT4KICAgICAgICAKICAgIAogICAgICAgICAgICA8c3R5bGU+ICN2ZWdhXzlkNzUwNGRkZGM0MjRmNWE4MzliNWRiMjZhY2QwMWI4IHsKICAgICAgICAgICAgICAgIHBvc2l0aW9uIDogYWJzb2x1dGU7CiAgICAgICAgICAgICAgICB3aWR0aCA6IDUwLjAlOwogICAgICAgICAgICAgICAgaGVpZ2h0OiA1MC4wJTsKICAgICAgICAgICAgICAgIGxlZnQ6IDUwLjAlOwogICAgICAgICAgICAgICAgdG9wOiAwLjAlOwogICAgICAgICAgICA8L3N0eWxlPgogICAgICAgICAgICAKICAgIDxzY3JpcHQgc3JjPSJodHRwczovL2NkbmpzLmNsb3VkZmxhcmUuY29tL2FqYXgvbGlicy9kMy8zLjUuNS9kMy5taW4uanMiPjwvc2NyaXB0PgogICAgPHNjcmlwdCBzcmM9Imh0dHBzOi8vY2RuanMuY2xvdWRmbGFyZS5jb20vYWpheC9saWJzL3ZlZ2EvMS40LjMvdmVnYS5taW4uanMiPjwvc2NyaXB0PgogICAgCiAgICAgICAgICAgIDxzdHlsZT4gI3ZlZ2FfNzkyYjQzNjVhMDhiNGIyYWIyN2U0OTkyOGRiZjMyMmMgewogICAgICAgICAgICAgICAgcG9zaXRpb24gOiBhYnNvbHV0ZTsKICAgICAgICAgICAgICAgIHdpZHRoIDogNTAuMCU7CiAgICAgICAgICAgICAgICBoZWlnaHQ6IDUwLjAlOwogICAgICAgICAgICAgICAgbGVmdDogMC4wJTsKICAgICAgICAgICAgICAgIHRvcDogNTAuMCU7CiAgICAgICAgICAgIDwvc3R5bGU+CiAgICAgICAgICAgIAo8L2hlYWQ+Cjxib2R5PiAgICAKICAgIAogICAgICAgICAgICA8ZGl2IGNsYXNzPSJmb2xpdW0tbWFwIiBpZD0ibWFwX2RjNTE1NGMzZTBmZjQyNjNhOGZjN2RiOTI1NjM0N2M0IiA+PC9kaXY+CiAgICAgICAgCiAgICAKICAgICAgICAgICAgPGRpdiBjbGFzcz0iZm9saXVtLW1hcCIgaWQ9Im1hcF9hNGExNTU3NTE1Mjg0NDBlYTU0YTQwYzllODA1NjQ4ZiIgPjwvZGl2PgogICAgICAgIAogICAgCiAgICAgICAgICAgIDxkaXYgaWQ9InZlZ2FfOWQ3NTA0ZGRkYzQyNGY1YTgzOWI1ZGIyNmFjZDAxYjgiPjwvZGl2PgogICAgICAgICAgICAKICAgIAogICAgICAgICAgICA8ZGl2IGlkPSJ2ZWdhXzc5MmI0MzY1YTA4YjRiMmFiMjdlNDk5MjhkYmYzMjJjIj48L2Rpdj4KICAgICAgICAgICAgCjwvYm9keT4KPHNjcmlwdD4gICAgCiAgICAKCiAgICAgICAgICAgIHZhciBzb3V0aFdlc3QgPSBMLmxhdExuZygtOTAsIC0xODApOwogICAgICAgICAgICB2YXIgbm9ydGhFYXN0ID0gTC5sYXRMbmcoOTAsIDE4MCk7CiAgICAgICAgICAgIHZhciBib3VuZHMgPSBMLmxhdExuZ0JvdW5kcyhzb3V0aFdlc3QsIG5vcnRoRWFzdCk7CgogICAgICAgICAgICB2YXIgbWFwX2RjNTE1NGMzZTBmZjQyNjNhOGZjN2RiOTI1NjM0N2M0ID0gTC5tYXAoJ21hcF9kYzUxNTRjM2UwZmY0MjYzYThmYzdkYjkyNTYzNDdjNCcsIHsKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIGNlbnRlcjpbMCwwXSwKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIHpvb206IDEsCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICBtYXhCb3VuZHM6IGJvdW5kcywKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIGxheWVyczogW10sCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICBjcnM6IEwuQ1JTLkVQU0czODU3CiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgfSk7CiAgICAgICAgICAgIAogICAgICAgIAogICAgCiAgICAgICAgICAgIHZhciB0aWxlX2xheWVyXzI5OGZlYjUxODdmNTQ4OTFiMDkyZDc1NTVkZTIwYjZjID0gTC50aWxlTGF5ZXIoCiAgICAgICAgICAgICAgICAnaHR0cHM6Ly9zdGFtZW4tdGlsZXMte3N9LmEuc3NsLmZhc3RseS5uZXQvd2F0ZXJjb2xvci97en0ve3h9L3t5fS5qcGcnLAogICAgICAgICAgICAgICAgewogICAgICAgICAgICAgICAgICAgIG1heFpvb206IDE4LAogICAgICAgICAgICAgICAgICAgIG1pblpvb206IDEsCiAgICAgICAgICAgICAgICAgICAgYXR0cmlidXRpb246ICdNYXAgdGlsZXMgYnkgPGEgaHJlZj0iaHR0cDovL3N0YW1lbi5jb20iPlN0YW1lbiBEZXNpZ248L2E+LCB1bmRlciA8YSBocmVmPSJodHRwOi8vY3JlYXRpdmVjb21tb25zLm9yZy9saWNlbnNlcy9ieS8zLjAiPkNDIEJZIDMuMDwvYT4uIERhdGEgYnkgPGEgaHJlZj0iaHR0cDovL29wZW5zdHJlZXRtYXAub3JnIj5PcGVuU3RyZWV0TWFwPC9hPiwgdW5kZXIgPGEgaHJlZj0iaHR0cDovL2NyZWF0aXZlY29tbW9ucy5vcmcvbGljZW5zZXMvYnktc2EvMy4wIj5DQyBCWSBTQTwvYT4uJywKICAgICAgICAgICAgICAgICAgICBkZXRlY3RSZXRpbmE6IGZhbHNlCiAgICAgICAgICAgICAgICAgICAgfQogICAgICAgICAgICAgICAgKS5hZGRUbyhtYXBfZGM1MTU0YzNlMGZmNDI2M2E4ZmM3ZGI5MjU2MzQ3YzQpOwoKICAgICAgICAKICAgIAoKICAgICAgICAgICAgdmFyIHNvdXRoV2VzdCA9IEwubGF0TG5nKC05MCwgLTE4MCk7CiAgICAgICAgICAgIHZhciBub3J0aEVhc3QgPSBMLmxhdExuZyg5MCwgMTgwKTsKICAgICAgICAgICAgdmFyIGJvdW5kcyA9IEwubGF0TG5nQm91bmRzKHNvdXRoV2VzdCwgbm9ydGhFYXN0KTsKCiAgICAgICAgICAgIHZhciBtYXBfYTRhMTU1NzUxNTI4NDQwZWE1NGE0MGM5ZTgwNTY0OGYgPSBMLm1hcCgnbWFwX2E0YTE1NTc1MTUyODQ0MGVhNTRhNDBjOWU4MDU2NDhmJywgewogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgY2VudGVyOls0NiwzXSwKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIHpvb206IDQsCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICBtYXhCb3VuZHM6IGJvdW5kcywKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIGxheWVyczogW10sCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICBjcnM6IEwuQ1JTLkVQU0czODU3CiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgfSk7CiAgICAgICAgICAgIAogICAgICAgIAogICAgCiAgICAgICAgICAgIHZhciB0aWxlX2xheWVyX2ZhZmZiZWE3YzEzYjQ2NDU4N2E1MWQzZGEwOGFjYWUxID0gTC50aWxlTGF5ZXIoCiAgICAgICAgICAgICAgICAnaHR0cDovL290aWxlMS5tcWNkbi5jb20vdGlsZXMvMS4wLjAvbWFwL3t6fS97eH0ve3l9LnBuZycsCiAgICAgICAgICAgICAgICB7CiAgICAgICAgICAgICAgICAgICAgbWF4Wm9vbTogMTgsCiAgICAgICAgICAgICAgICAgICAgbWluWm9vbTogMSwKICAgICAgICAgICAgICAgICAgICBhdHRyaWJ1dGlvbjogJ01hcCB0aWxlcyBieSA8YSBocmVmPSJodHRwOi8vb3Blbi5tYXBxdWVzdC5jb20vIj5NYXBRdWVzdDwvYT4gRGF0YSBieSA8YSBocmVmPSJodHRwOi8vb3BlbnN0cmVldG1hcC5vcmciPk9wZW5TdHJlZXRNYXA8L2E+LCB1bmRlciA8YSBocmVmPSJodHRwOi8vY3JlYXRpdmVjb21tb25zLm9yZy9saWNlbnNlcy9ieS1zYS8zLjAiPkNDIEJZIFNBPC9hPi4nLAogICAgICAgICAgICAgICAgICAgIGRldGVjdFJldGluYTogZmFsc2UKICAgICAgICAgICAgICAgICAgICB9CiAgICAgICAgICAgICAgICApLmFkZFRvKG1hcF9hNGExNTU3NTE1Mjg0NDBlYTU0YTQwYzllODA1NjQ4Zik7CgogICAgICAgIAogICAgCiAgICAgICAgICAgIHZlZ2FfcGFyc2UoeyJtYXJrcyI6IFt7Im1hcmtzIjogW3sidHlwZSI6ICJzeW1ib2wiLCAicHJvcGVydGllcyI6IHsiZW50ZXIiOiB7ImZpbGwiOiB7ImZpZWxkIjogImRhdGEuY29sIiwgInNjYWxlIjogImNvbG9yIn0sICJ4IjogeyJmaWVsZCI6ICJkYXRhLmlkeCIsICJzY2FsZSI6ICJ4In0sICJ5IjogeyJmaWVsZCI6ICJkYXRhLnZhbCIsICJzY2FsZSI6ICJ5In0sICJzaXplIjogeyJ2YWx1ZSI6IDEwMH19fX1dLCAidHlwZSI6ICJncm91cCIsICJmcm9tIjogeyJkYXRhIjogInRhYmxlIiwgInRyYW5zZm9ybSI6IFt7ImtleXMiOiBbImRhdGEuY29sIl0sICJ0eXBlIjogImZhY2V0In1dfX1dLCAic2NhbGVzIjogW3siZG9tYWluIjogeyJmaWVsZCI6ICJkYXRhLmlkeCIsICJkYXRhIjogInRhYmxlIn0sICJyYW5nZSI6ICJ3aWR0aCIsICJuYW1lIjogIngiLCAidHlwZSI6ICJsaW5lYXIifSwgeyJkb21haW4iOiB7ImZpZWxkIjogImRhdGEudmFsIiwgImRhdGEiOiAidGFibGUifSwgInJhbmdlIjogImhlaWdodCIsICJuYW1lIjogInkiLCAibmljZSI6IHRydWV9LCB7ImRvbWFpbiI6IHsiZmllbGQiOiAiZGF0YS5jb2wiLCAiZGF0YSI6ICJ0YWJsZSJ9LCAicmFuZ2UiOiAiY2F0ZWdvcnkyMCIsICJuYW1lIjogImNvbG9yIiwgInR5cGUiOiAib3JkaW5hbCJ9XSwgIndpZHRoIjogNDIwLCAiYXhlcyI6IFt7InNjYWxlIjogIngiLCAidHlwZSI6ICJ4In0sIHsic2NhbGUiOiAieSIsICJ0eXBlIjogInkifV0sICJsZWdlbmRzIjogW10sICJkYXRhIjogW3sidmFsdWVzIjogW3siaWR4IjogMC4wODk2NTI4NTgzMTE5MTA1LCAidmFsIjogMC40MTAxODQ1ODQ2MDQ0NDA1LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjU4OTYwMDk2OTM1MjczOTksICJ2YWwiOiAwLjE2MjM2Mjc2Mjk0NjczMjI0LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjc5OTgzNDA5MzU2NjkyMTYsICJ2YWwiOiAwLjA0MzAzNTM4ODkzMTAxODg4LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjY5MzYxNzI1NTIwODM3MTQsICJ2YWwiOiAwLjAxMzM4Mjg5OTM4NTcxOTQ2LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjk1Njk3NTE5NjE5MDc1NTIsICJ2YWwiOiAwLjg2ODY0MjE4MDk3NTI0MDgsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMjkwNjczNjAwNzQyODcyOTYsICJ2YWwiOiAwLjMwNTExMTQxMzczODEzMTY2LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjUzOTI5NjMxNzU2MDE2NjgsICJ2YWwiOiAwLjU4NzQ2MTkyNzA4Njc5ODgsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNjMxMjIxMDU0MTMzNTA0NiwgInZhbCI6IDAuNDgyMjMwNDg5MDYzMzUyMywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4wNDg0NDYzNjAzODYxMDE2MiwgInZhbCI6IDAuNjQ3NTc2ODk4OTQxODE1MiwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC42NTg5NTM2ODk4NjI3NzIzLCAidmFsIjogMC4yNTQ2NjQ0MzYzNTk2ODQ0LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjEyOTU2NzE3MzM4NDc5MzUsICJ2YWwiOiAwLjA4MzQzOTgwNzYwOTk0NDMsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMDUxOTA3NzE0MTU5ODg4MDQsICJ2YWwiOiAwLjMwNTA2OTQyNTE5ODg2MjkzLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjc3NTUwNDg4NzE1MDUzMjEsICJ2YWwiOiAwLjY3MTYwODE2NDUyNDcyNDIsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMjQwMDg3NDg3OTYyNjc2OTgsICJ2YWwiOiAwLjEyNTIxNDcwMjIzMDkyNTksICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMDIyMTE1ODg1OTI0MTAwMDc2LCAidmFsIjogMC44NTU1MTk5ODA4Njg5NzIsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNTYwNjU3MDg3NTY5NjE3LCAidmFsIjogMC41NTA5OTI0MDMzOTg5NDAyLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjcyMDc2Mjk5Mjc1MTA2MTUsICJ2YWwiOiAwLjM1NDI3MTU2ODQxNTAxNzMsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNTg2MzI3Mzg1MDM3MTkxOCwgInZhbCI6IDAuMzg2NjczMzg3MDQzOTk5OSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC41OTE5NTIyNDY2MDg5NTIsICJ2YWwiOiAwLjg1NTM1NzIzNjU2MDk2OCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4zOTI5ODY2MDMzOTQ3NDE4LCAidmFsIjogMC44NzI1NzU1NTQzMzE1NzEyLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjU0NTEyNzQzNDI0MzEzMjUsICJ2YWwiOiAwLjI5NTMxOTMzNTUwNTczNDg2LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjk4NDA5MDQ1OTAzNzAyNDcsICJ2YWwiOiAwLjQ3OTY2NzU1MDg0OTI5NjksICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMzE5MTI4Nzc2NDMzNTA5NywgInZhbCI6IDAuOTY2MjY4MTQ3NDA2MDc0LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjIzMjk0NjgwMzk0ODA2NDU1LCAidmFsIjogMC44MTk3ODI2NTg5NTI3MzE0LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjMwNDU2OTc2OTMzMDc5MDk0LCAidmFsIjogMC4zNDM3Mjg0MDg3MTMwNTY5LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjUwMzA1NzY0NDE3NDUyMDMsICJ2YWwiOiAwLjk3MDcxOTI1Mjc5MzEwMzgsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNzI1NTkyNDU4MTkzMjA5OSwgInZhbCI6IDAuMTUyNDc3MDMyNzE0NzQ3MSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4xOTA1NDI1OTU2MDE1MzI0MiwgInZhbCI6IDAuMjA2MzIyMDkxOTk2ODY0NDcsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMzg0NTI0NjgzODA2NzIxOSwgInZhbCI6IDAuNTQxOTk5NzU5NjAyNjA3MywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC43OTE0ODQwMjUzODUxODcxLCAidmFsIjogMC4wOTM2NjI2NjE5MTgyMzAzNiwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4yNjk5NzAyMjUyMTY5Njg0NSwgInZhbCI6IDAuNDc2Mzg4NDYyODg4Mjk0NCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC40NjcxMzQ5MDQ4ODIwMjM3LCAidmFsIjogMC4xMDc0MDk4OTE5NTc5MDUwNywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4zNTcxOTU5NTQ3MDM3MjU5LCAidmFsIjogMC43NjczNjMxOTQzNDA0MzE5LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjUwODMxNDQwODIwMTIzOTYsICJ2YWwiOiAwLjMwODE1ODM0OTg3MTQ1MjQsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuOTk3MTg4MTk4NjQzMjEyLCAidmFsIjogMC4zNjk0MDgxMTcxODQzODE1LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjM1MDA1MjM0Njc3ODk4MDY3LCAidmFsIjogMC4xNjI1NTcwMzI2NTIxNTkxNSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4yMDg5NTIzNjY2NzAzNTUsICJ2YWwiOiAwLjEyMjgyNDM0OTI5MzMzNDE5LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjM3MTQ4NTYxMDk1MjM2NDY1LCAidmFsIjogMC4yMjExMjMyMjgwNzU5NjIxOSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC40OTY3OTUzMDIzMjM5MTExLCAidmFsIjogMC4wNDk3MTU1Nzk1MzQ4NjUyNywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4zOTM0MzkwNzgzMTExNDM0NCwgInZhbCI6IDAuNjk3OTM0NzQzMjI5MDM1MywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4zNDAyMjk2MTE1ODE5ODkwNiwgInZhbCI6IDAuMTkxMDIwODcyNTE2NDg2NDcsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNjIxMjczMjE2MjY0ODQzNiwgInZhbCI6IDAuMzM5MDkxNTE5MTk5MjY2NiwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4wMDM1NjU2OTU4MzUyMDY3MTcsICJ2YWwiOiAwLjkzODIyNzc3Mjk0MzAwMTQsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMDQyMzY5OTc5NDE3MTUzMTUsICJ2YWwiOiAwLjA5Mzc1MDQ5ODY3MTUzNjE4LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjU0NTExMTAxNDc5NjA4MjYsICJ2YWwiOiAwLjM3NjUyNDAyNjQ1ODc0NjQsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNTgzNzcwNzg4MjAzNTgxNiwgInZhbCI6IDAuMzM3OTM1OTUyMzk2NTQwNCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC44MzQyNjE3MDYzNDI4MzYsICJ2YWwiOiAwLjYwMzMxMDE3MTMyNjgzNzcsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuOTU5NjA0NjEzNzEzMDMyMywgInZhbCI6IDAuNDQwMzgwNDA5ODU2NDU4OSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4zMTI2MDQwNzg5OTk4NzY5NywgInZhbCI6IDAuMTE3NzgwMDMzNzg0NzgwODYsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNzg5MDMzMzkxMTkzNDM5OCwgInZhbCI6IDAuMTE5OTQ2MDkxNTgyMzY2NTgsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMDUzODA2Nzc4MzMyOTEzODEsICJ2YWwiOiAwLjcyMzE4MjUzNTA2MzQ0MDMsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMDcxNTY0NjcyNjYxMDQxMDUsICJ2YWwiOiAwLjYxMTAyOTYwMDY3NDExNjUsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNzk3ODE1OTg3OTI1NjU1OCwgInZhbCI6IDAuNjY2MjU3MzgwNDU4MjYyNCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC42MzQyOTc0MDI0NzE0MjM5LCAidmFsIjogMC43MjcyMTcxMDY5NTEyNDAzLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjM1NDQyMzc3MjI5MjcyOTksICJ2YWwiOiAwLjc4NTAwMDU2NjYxMzk0NjksICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMjc1MDgwODk0NTg2Njk1MTYsICJ2YWwiOiAwLjUxNDM4MTY0NTI2NTY0ODksICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNjA4MDk5MTU1MjMyMzYxOCwgInZhbCI6IDAuMDgyOTY0MDQ0ODUwODE2MSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC40MDkxOTY1ODE2NTg2MjI0LCAidmFsIjogMC40NjcxNzk4MDk0MjcxNTYxLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjUxNTE4NDI4NTU1NTQ2MjUsICJ2YWwiOiAwLjQzMDg1MzU4NjMzMDg3MSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4wNzAwMjgwMjIzMDQ5ODYzOSwgInZhbCI6IDAuOTkwOTY1NTg1NjU4MDUzMywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC42MDExNTI3MTQ2OTkyMjM3LCAidmFsIjogMC43Mjc0MDY4NDk2MjM4NDQsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNzk4MzAwNjIzODE4ODMyNywgInZhbCI6IDAuNzIxNzg1NzA5MjU2MzkwMywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC43Njc3Nzg0MTc4NDg5MzE5LCAidmFsIjogMC4yNjUyNzc1OTg3OTQ0NDc4LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjcyMDUxMzUyMTkyNTcwMSwgInZhbCI6IDAuNDk1OTY0MzQzNzU2NDM4NSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC41MzUzMzk5NzA4Mzk2MjIxLCAidmFsIjogMC40MDIwMTM1NDA4NTI4NjYzNCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC45MjIyMjUyODk3MTYxNTQxLCAidmFsIjogMC4yNTE2MjU0MzI0NDQxNjc3LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjkwMTUwMzgyODgwMDQ5NzgsICJ2YWwiOiAwLjM0ODE3MDM3MDcxNzI1OTIsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMjg1Njc4NzgxOTE3NzgzODQsICJ2YWwiOiAwLjQ0MTczOTA3NzcyOTMzNzQsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNTc3MTQ2MDI4NTU5NzcxNSwgInZhbCI6IDAuMTYzMDQ2OTMzNzA2MDQwNzMsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMDM3NjE5OTUzMjUyOTIzNjY2LCAidmFsIjogMC4xOTYzMTU2ODY5MjMyNzA3MywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC41OTE1NjUxNzczMDYxNDA5LCAidmFsIjogMC40OTgzNzc5MzA2NjA2MzQyLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjY3MzkzMjA2Njk1OTQ3OTUsICJ2YWwiOiAwLjY1NjU0NTM3MTA0MTg5MDUsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuODAxMTY3NDQ2MTA3MTIzMiwgInZhbCI6IDAuNDQxNTI0NjUyMzc2NjkxNywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC44NTY2MTkwNjk3MDQwNzU5LCAidmFsIjogMC4yNzM1Mjk4NzY1MTkxNjE3LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjczNTE5NzAyNDYxMDI5LCAidmFsIjogMC44MTA4ODA5NTQ0NDQxNTQ0LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjA3ODYzNTQ1NTY0NDAzMTYzLCAidmFsIjogMC45NTM3NjA2NzQzMzk3MTgsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMjc2MTQ5NzQwNTgxOTk1NiwgInZhbCI6IDAuNDQwNTUxNjc4ODczMTA5NTMsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMzA2Nzg4MTY3MzYwOTU0NCwgInZhbCI6IDAuODQwMTI5MjkzNDY0NjYyMSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC42MDE1NjQzMzc4MzI0MjI5LCAidmFsIjogMC41NTg0MTkyNDkyNTEwOTM1LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjMxNjI3NjE5MjU2MDk5MTI2LCAidmFsIjogMC44MjUzNTI0ODcyNjM1OTg4LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjg5ODA3OTM3NDYxNDQ1MTksICJ2YWwiOiAwLjY0MDI3NTc2MDE3NzI3NDksICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNTA1MjkzMzEzNzMxMzM1MiwgInZhbCI6IDAuMTcxNTAxMDQ2ODA5NTQwNywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4xODE0MTkxNTA5MzgxNTE5NywgInZhbCI6IDAuNTMxMzI5ODQ5MTU4NzQ2LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjk1NzE3MDY4MDU0OTY4MiwgInZhbCI6IDAuNDk5MzQzNjY0MTMwMzIwODMsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuOTI0MDIwODkxNTYwODkxLCAidmFsIjogMC43Nzc5MDAyMjMwOTMzMjEzLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjg5Njc3MTAxODY3NTgzNjMsICJ2YWwiOiAwLjQzMTg3MjA0ODMzMTA4NDUsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNzc2MjI2MzExNTU4ODc4NiwgInZhbCI6IDAuMjc4NTY3MTA4MDQwMDg0MzMsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuODY1Njk1MDM2MTU1MjU3OCwgInZhbCI6IDAuNTQzMTYyNDg2MDE4NTM5NCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4zOTY5MzE2Mzc3NzE3MTgzNiwgInZhbCI6IDAuOTgwNjIzMDc3NzAwODYxLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjk3MTQ1OTEwMTU5NzUyMTksICJ2YWwiOiAwLjMxNzE2MDg5MTc5Mzg1Mjc2LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjc3NDY2MzY2OTc1NjIzMjQsICJ2YWwiOiAwLjM5NTE4MTI4MDMyNDA0NCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC42MzA1Mjc4ODQzMzM1MDM4LCAidmFsIjogMC41ODgwNzczODI3Mzk1OTk2LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjM0NjkxMTM1MjIyMTEzNjIsICJ2YWwiOiAwLjczODc0ODU0NDc4NzgxMzksICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNDYzMTc4NDU1NTg5MzI2MTMsICJ2YWwiOiAwLjYyODE2OTIzNzM3MDkzODgsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNTc2MDgwNjk5MDU0ODc0NiwgInZhbCI6IDAuMjg5MTMzNTQ3NDc3NzQ0OSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC44MDQzMjc4NTEzOTAwNTU2LCAidmFsIjogMC44MTkxMjQxNjM2MDczMzg1LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjQwODQ2NjExNjEwMTY4MzE1LCAidmFsIjogMC43OTU4NjI4MTgwMTMzMTQzLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjgzNTM1MzQ3ODkyNTQ4MzcsICJ2YWwiOiAwLjI2NDkwOTQ4NjQ0MTQ5MTI2LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjgxODQwMTMyMDEyMTU2OTIsICJ2YWwiOiAwLjAzODA5MDI1MTQ1NzEyMDQxNiwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC43OTk3MzI0NTYwNDQyMTgzLCAidmFsIjogMC4yNTM1MDg4MDkwMzM0NTc3NCwgImNvbCI6ICJ5In1dLCAibmFtZSI6ICJ0YWJsZSJ9XSwgInBhZGRpbmciOiAiYXV0byIsICJoZWlnaHQiOiAyNTB9LHZlZ2FfOWQ3NTA0ZGRkYzQyNGY1YTgzOWI1ZGIyNmFjZDAxYjgpOwogICAgICAgICAgICAKICAgIGZ1bmN0aW9uIHZlZ2FfcGFyc2Uoc3BlYywgZGl2KSB7CiAgICAgICAgICAgIHZnLnBhcnNlLnNwZWMoc3BlYywgZnVuY3Rpb24oY2hhcnQpIHsgY2hhcnQoe2VsOmRpdn0pLnVwZGF0ZSgpOyB9KTt9CiAgICAKICAgICAgICAgICAgdmVnYV9wYXJzZSh7Im1hcmtzIjogW3sibWFya3MiOiBbeyJ0eXBlIjogInN5bWJvbCIsICJwcm9wZXJ0aWVzIjogeyJlbnRlciI6IHsiZmlsbCI6IHsiZmllbGQiOiAiZGF0YS5jb2wiLCAic2NhbGUiOiAiY29sb3IifSwgIngiOiB7ImZpZWxkIjogImRhdGEuaWR4IiwgInNjYWxlIjogIngifSwgInkiOiB7ImZpZWxkIjogImRhdGEudmFsIiwgInNjYWxlIjogInkifSwgInNpemUiOiB7InZhbHVlIjogMTAwfX19fV0sICJ0eXBlIjogImdyb3VwIiwgImZyb20iOiB7ImRhdGEiOiAidGFibGUiLCAidHJhbnNmb3JtIjogW3sia2V5cyI6IFsiZGF0YS5jb2wiXSwgInR5cGUiOiAiZmFjZXQifV19fV0sICJzY2FsZXMiOiBbeyJkb21haW4iOiB7ImZpZWxkIjogImRhdGEuaWR4IiwgImRhdGEiOiAidGFibGUifSwgInJhbmdlIjogIndpZHRoIiwgIm5hbWUiOiAieCIsICJ0eXBlIjogImxpbmVhciJ9LCB7ImRvbWFpbiI6IHsiZmllbGQiOiAiZGF0YS52YWwiLCAiZGF0YSI6ICJ0YWJsZSJ9LCAicmFuZ2UiOiAiaGVpZ2h0IiwgIm5hbWUiOiAieSIsICJuaWNlIjogdHJ1ZX0sIHsiZG9tYWluIjogeyJmaWVsZCI6ICJkYXRhLmNvbCIsICJkYXRhIjogInRhYmxlIn0sICJyYW5nZSI6ICJjYXRlZ29yeTIwIiwgIm5hbWUiOiAiY29sb3IiLCAidHlwZSI6ICJvcmRpbmFsIn1dLCAid2lkdGgiOiA0MjAsICJheGVzIjogW3sic2NhbGUiOiAieCIsICJ0eXBlIjogIngifSwgeyJzY2FsZSI6ICJ5IiwgInR5cGUiOiAieSJ9XSwgImxlZ2VuZHMiOiBbXSwgImRhdGEiOiBbeyJ2YWx1ZXMiOiBbeyJpZHgiOiAwLjA4OTY1Mjg1ODMxMTkxMDUsICJ2YWwiOiAwLjQxMDE4NDU4NDYwNDQ0MDUsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNTg5NjAwOTY5MzUyNzM5OSwgInZhbCI6IDAuMTYyMzYyNzYyOTQ2NzMyMjQsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNzk5ODM0MDkzNTY2OTIxNiwgInZhbCI6IDAuMDQzMDM1Mzg4OTMxMDE4ODgsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNjkzNjE3MjU1MjA4MzcxNCwgInZhbCI6IDAuMDEzMzgyODk5Mzg1NzE5NDYsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuOTU2OTc1MTk2MTkwNzU1MiwgInZhbCI6IDAuODY4NjQyMTgwOTc1MjQwOCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4yOTA2NzM2MDA3NDI4NzI5NiwgInZhbCI6IDAuMzA1MTExNDEzNzM4MTMxNjYsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNTM5Mjk2MzE3NTYwMTY2OCwgInZhbCI6IDAuNTg3NDYxOTI3MDg2Nzk4OCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC42MzEyMjEwNTQxMzM1MDQ2LCAidmFsIjogMC40ODIyMzA0ODkwNjMzNTIzLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjA0ODQ0NjM2MDM4NjEwMTYyLCAidmFsIjogMC42NDc1NzY4OTg5NDE4MTUyLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjY1ODk1MzY4OTg2Mjc3MjMsICJ2YWwiOiAwLjI1NDY2NDQzNjM1OTY4NDQsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMTI5NTY3MTczMzg0NzkzNSwgInZhbCI6IDAuMDgzNDM5ODA3NjA5OTQ0MywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4wNTE5MDc3MTQxNTk4ODgwNCwgInZhbCI6IDAuMzA1MDY5NDI1MTk4ODYyOTMsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNzc1NTA0ODg3MTUwNTMyMSwgInZhbCI6IDAuNjcxNjA4MTY0NTI0NzI0MiwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4yNDAwODc0ODc5NjI2NzY5OCwgInZhbCI6IDAuMTI1MjE0NzAyMjMwOTI1OSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4wMjIxMTU4ODU5MjQxMDAwNzYsICJ2YWwiOiAwLjg1NTUxOTk4MDg2ODk3MiwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC41NjA2NTcwODc1Njk2MTcsICJ2YWwiOiAwLjU1MDk5MjQwMzM5ODk0MDIsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNzIwNzYyOTkyNzUxMDYxNSwgInZhbCI6IDAuMzU0MjcxNTY4NDE1MDE3MywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC41ODYzMjczODUwMzcxOTE4LCAidmFsIjogMC4zODY2NzMzODcwNDM5OTk5LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjU5MTk1MjI0NjYwODk1MiwgInZhbCI6IDAuODU1MzU3MjM2NTYwOTY4LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjM5Mjk4NjYwMzM5NDc0MTgsICJ2YWwiOiAwLjg3MjU3NTU1NDMzMTU3MTIsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNTQ1MTI3NDM0MjQzMTMyNSwgInZhbCI6IDAuMjk1MzE5MzM1NTA1NzM0ODYsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuOTg0MDkwNDU5MDM3MDI0NywgInZhbCI6IDAuNDc5NjY3NTUwODQ5Mjk2OSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4zMTkxMjg3NzY0MzM1MDk3LCAidmFsIjogMC45NjYyNjgxNDc0MDYwNzQsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMjMyOTQ2ODAzOTQ4MDY0NTUsICJ2YWwiOiAwLjgxOTc4MjY1ODk1MjczMTQsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMzA0NTY5NzY5MzMwNzkwOTQsICJ2YWwiOiAwLjM0MzcyODQwODcxMzA1NjksICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNTAzMDU3NjQ0MTc0NTIwMywgInZhbCI6IDAuOTcwNzE5MjUyNzkzMTAzOCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC43MjU1OTI0NTgxOTMyMDk5LCAidmFsIjogMC4xNTI0NzcwMzI3MTQ3NDcxLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjE5MDU0MjU5NTYwMTUzMjQyLCAidmFsIjogMC4yMDYzMjIwOTE5OTY4NjQ0NywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4zODQ1MjQ2ODM4MDY3MjE5LCAidmFsIjogMC41NDE5OTk3NTk2MDI2MDczLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjc5MTQ4NDAyNTM4NTE4NzEsICJ2YWwiOiAwLjA5MzY2MjY2MTkxODIzMDM2LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjI2OTk3MDIyNTIxNjk2ODQ1LCAidmFsIjogMC40NzYzODg0NjI4ODgyOTQ0LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjQ2NzEzNDkwNDg4MjAyMzcsICJ2YWwiOiAwLjEwNzQwOTg5MTk1NzkwNTA3LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjM1NzE5NTk1NDcwMzcyNTksICJ2YWwiOiAwLjc2NzM2MzE5NDM0MDQzMTksICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNTA4MzE0NDA4MjAxMjM5NiwgInZhbCI6IDAuMzA4MTU4MzQ5ODcxNDUyNCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC45OTcxODgxOTg2NDMyMTIsICJ2YWwiOiAwLjM2OTQwODExNzE4NDM4MTUsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMzUwMDUyMzQ2Nzc4OTgwNjcsICJ2YWwiOiAwLjE2MjU1NzAzMjY1MjE1OTE1LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjIwODk1MjM2NjY3MDM1NSwgInZhbCI6IDAuMTIyODI0MzQ5MjkzMzM0MTksICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMzcxNDg1NjEwOTUyMzY0NjUsICJ2YWwiOiAwLjIyMTEyMzIyODA3NTk2MjE5LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjQ5Njc5NTMwMjMyMzkxMTEsICJ2YWwiOiAwLjA0OTcxNTU3OTUzNDg2NTI3LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjM5MzQzOTA3ODMxMTE0MzQ0LCAidmFsIjogMC42OTc5MzQ3NDMyMjkwMzUzLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjM0MDIyOTYxMTU4MTk4OTA2LCAidmFsIjogMC4xOTEwMjA4NzI1MTY0ODY0NywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC42MjEyNzMyMTYyNjQ4NDM2LCAidmFsIjogMC4zMzkwOTE1MTkxOTkyNjY2LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjAwMzU2NTY5NTgzNTIwNjcxNywgInZhbCI6IDAuOTM4MjI3NzcyOTQzMDAxNCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4wNDIzNjk5Nzk0MTcxNTMxNSwgInZhbCI6IDAuMDkzNzUwNDk4NjcxNTM2MTgsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNTQ1MTExMDE0Nzk2MDgyNiwgInZhbCI6IDAuMzc2NTI0MDI2NDU4NzQ2NCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC41ODM3NzA3ODgyMDM1ODE2LCAidmFsIjogMC4zMzc5MzU5NTIzOTY1NDA0LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjgzNDI2MTcwNjM0MjgzNiwgInZhbCI6IDAuNjAzMzEwMTcxMzI2ODM3NywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC45NTk2MDQ2MTM3MTMwMzIzLCAidmFsIjogMC40NDAzODA0MDk4NTY0NTg5LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjMxMjYwNDA3ODk5OTg3Njk3LCAidmFsIjogMC4xMTc3ODAwMzM3ODQ3ODA4NiwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC43ODkwMzMzOTExOTM0Mzk4LCAidmFsIjogMC4xMTk5NDYwOTE1ODIzNjY1OCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4wNTM4MDY3NzgzMzI5MTM4MSwgInZhbCI6IDAuNzIzMTgyNTM1MDYzNDQwMywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4wNzE1NjQ2NzI2NjEwNDEwNSwgInZhbCI6IDAuNjExMDI5NjAwNjc0MTE2NSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC43OTc4MTU5ODc5MjU2NTU4LCAidmFsIjogMC42NjYyNTczODA0NTgyNjI0LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjYzNDI5NzQwMjQ3MTQyMzksICJ2YWwiOiAwLjcyNzIxNzEwNjk1MTI0MDMsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMzU0NDIzNzcyMjkyNzI5OSwgInZhbCI6IDAuNzg1MDAwNTY2NjEzOTQ2OSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4yNzUwODA4OTQ1ODY2OTUxNiwgInZhbCI6IDAuNTE0MzgxNjQ1MjY1NjQ4OSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC42MDgwOTkxNTUyMzIzNjE4LCAidmFsIjogMC4wODI5NjQwNDQ4NTA4MTYxLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjQwOTE5NjU4MTY1ODYyMjQsICJ2YWwiOiAwLjQ2NzE3OTgwOTQyNzE1NjEsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNTE1MTg0Mjg1NTU1NDYyNSwgInZhbCI6IDAuNDMwODUzNTg2MzMwODcxLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjA3MDAyODAyMjMwNDk4NjM5LCAidmFsIjogMC45OTA5NjU1ODU2NTgwNTMzLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjYwMTE1MjcxNDY5OTIyMzcsICJ2YWwiOiAwLjcyNzQwNjg0OTYyMzg0NCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC43OTgzMDA2MjM4MTg4MzI3LCAidmFsIjogMC43MjE3ODU3MDkyNTYzOTAzLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjc2Nzc3ODQxNzg0ODkzMTksICJ2YWwiOiAwLjI2NTI3NzU5ODc5NDQ0NzgsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNzIwNTEzNTIxOTI1NzAxLCAidmFsIjogMC40OTU5NjQzNDM3NTY0Mzg1LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjUzNTMzOTk3MDgzOTYyMjEsICJ2YWwiOiAwLjQwMjAxMzU0MDg1Mjg2NjM0LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjkyMjIyNTI4OTcxNjE1NDEsICJ2YWwiOiAwLjI1MTYyNTQzMjQ0NDE2NzcsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuOTAxNTAzODI4ODAwNDk3OCwgInZhbCI6IDAuMzQ4MTcwMzcwNzE3MjU5MiwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4yODU2Nzg3ODE5MTc3ODM4NCwgInZhbCI6IDAuNDQxNzM5MDc3NzI5MzM3NCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC41NzcxNDYwMjg1NTk3NzE1LCAidmFsIjogMC4xNjMwNDY5MzM3MDYwNDA3MywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4wMzc2MTk5NTMyNTI5MjM2NjYsICJ2YWwiOiAwLjE5NjMxNTY4NjkyMzI3MDczLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjU5MTU2NTE3NzMwNjE0MDksICJ2YWwiOiAwLjQ5ODM3NzkzMDY2MDYzNDIsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNjczOTMyMDY2OTU5NDc5NSwgInZhbCI6IDAuNjU2NTQ1MzcxMDQxODkwNSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC44MDExNjc0NDYxMDcxMjMyLCAidmFsIjogMC40NDE1MjQ2NTIzNzY2OTE3LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjg1NjYxOTA2OTcwNDA3NTksICJ2YWwiOiAwLjI3MzUyOTg3NjUxOTE2MTcsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNzM1MTk3MDI0NjEwMjksICJ2YWwiOiAwLjgxMDg4MDk1NDQ0NDE1NDQsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMDc4NjM1NDU1NjQ0MDMxNjMsICJ2YWwiOiAwLjk1Mzc2MDY3NDMzOTcxOCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4yNzYxNDk3NDA1ODE5OTU2LCAidmFsIjogMC40NDA1NTE2Nzg4NzMxMDk1MywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC4zMDY3ODgxNjczNjA5NTQ0LCAidmFsIjogMC44NDAxMjkyOTM0NjQ2NjIxLCAiY29sIjogInkifSwgeyJpZHgiOiAwLjYwMTU2NDMzNzgzMjQyMjksICJ2YWwiOiAwLjU1ODQxOTI0OTI1MTA5MzUsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMzE2Mjc2MTkyNTYwOTkxMjYsICJ2YWwiOiAwLjgyNTM1MjQ4NzI2MzU5ODgsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuODk4MDc5Mzc0NjE0NDUxOSwgInZhbCI6IDAuNjQwMjc1NzYwMTc3Mjc0OSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC41MDUyOTMzMTM3MzEzMzUyLCAidmFsIjogMC4xNzE1MDEwNDY4MDk1NDA3LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjE4MTQxOTE1MDkzODE1MTk3LCAidmFsIjogMC41MzEzMjk4NDkxNTg3NDYsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuOTU3MTcwNjgwNTQ5NjgyLCAidmFsIjogMC40OTkzNDM2NjQxMzAzMjA4MywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC45MjQwMjA4OTE1NjA4OTEsICJ2YWwiOiAwLjc3NzkwMDIyMzA5MzMyMTMsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuODk2NzcxMDE4Njc1ODM2MywgInZhbCI6IDAuNDMxODcyMDQ4MzMxMDg0NSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC43NzYyMjYzMTE1NTg4Nzg2LCAidmFsIjogMC4yNzg1NjcxMDgwNDAwODQzMywgImNvbCI6ICJ5In0sIHsiaWR4IjogMC44NjU2OTUwMzYxNTUyNTc4LCAidmFsIjogMC41NDMxNjI0ODYwMTg1Mzk0LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjM5NjkzMTYzNzc3MTcxODM2LCAidmFsIjogMC45ODA2MjMwNzc3MDA4NjEsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuOTcxNDU5MTAxNTk3NTIxOSwgInZhbCI6IDAuMzE3MTYwODkxNzkzODUyNzYsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNzc0NjYzNjY5NzU2MjMyNCwgInZhbCI6IDAuMzk1MTgxMjgwMzI0MDQ0LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjYzMDUyNzg4NDMzMzUwMzgsICJ2YWwiOiAwLjU4ODA3NzM4MjczOTU5OTYsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuMzQ2OTExMzUyMjIxMTM2MiwgInZhbCI6IDAuNzM4NzQ4NTQ0Nzg3ODEzOSwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC40NjMxNzg0NTU1ODkzMjYxMywgInZhbCI6IDAuNjI4MTY5MjM3MzcwOTM4OCwgImNvbCI6ICJ5In0sIHsiaWR4IjogMC41NzYwODA2OTkwNTQ4NzQ2LCAidmFsIjogMC4yODkxMzM1NDc0Nzc3NDQ5LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjgwNDMyNzg1MTM5MDA1NTYsICJ2YWwiOiAwLjgxOTEyNDE2MzYwNzMzODUsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuNDA4NDY2MTE2MTAxNjgzMTUsICJ2YWwiOiAwLjc5NTg2MjgxODAxMzMxNDMsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuODM1MzUzNDc4OTI1NDgzNywgInZhbCI6IDAuMjY0OTA5NDg2NDQxNDkxMjYsICJjb2wiOiAieSJ9LCB7ImlkeCI6IDAuODE4NDAxMzIwMTIxNTY5MiwgInZhbCI6IDAuMDM4MDkwMjUxNDU3MTIwNDE2LCAiY29sIjogInkifSwgeyJpZHgiOiAwLjc5OTczMjQ1NjA0NDIxODMsICJ2YWwiOiAwLjI1MzUwODgwOTAzMzQ1Nzc0LCAiY29sIjogInkifV0sICJuYW1lIjogInRhYmxlIn1dLCAicGFkZGluZyI6ICJhdXRvIiwgImhlaWdodCI6IDI1MH0sdmVnYV83OTJiNDM2NWEwOGI0YjJhYjI3ZTQ5OTI4ZGJmMzIyYyk7CiAgICAgICAgICAgIAo8L3NjcmlwdD4=\" style=\"position:absolute;width:100%;height:100%;left:0;top:0;\"></iframe></div></div>" ], "text/plain": [ "<branca.element.Figure at 0x7fda19754ba8>" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import vincent, json\n", "import numpy as np\n", "N=100\n", "multi_iter2 = {'x' : np.random.uniform(size=(N,)),\n", " 'y' : np.random.uniform(size=(N,)),\n", " }\n", "scatter = vincent.Scatter(multi_iter2, iter_idx='x', height=250, width=420)\n", "data = json.loads(scatter.to_json())\n", "\n", "f = branca.element.Figure()\n", "\n", "# Create two maps\n", "m = folium.Map([0,0], tiles='stamenwatercolor',\n", " zoom_start=1, position='absolute', left=\"0%\", width=\"50%\", height=\"50%\")\n", "m2 = folium.Map([46,3], tiles='mapquestopen',\n", " zoom_start=4, position='absolute', left=\"50%\", width=\"50%\", height='50%',top='50%')\n", "\n", "# Create two Vega\n", "v = features.Vega(data, position='absolute', left=\"50%\", width=\"50%\", height=\"50%\")\n", "\n", "v2 = features.Vega(data, position='absolute', left=\"0%\", width=\"50%\", height=\"50%\", top='50%')\n", "\n", "f.add_children(m)\n", "f.add_children(m2)\n", "f.add_children(v)\n", "f.add_children(v2)\n", "\n", "f" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### GeoJson" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"width:100%;\"><div style=\"position:relative;width:100%;height:0;padding-bottom:60%;\"><iframe src=\"data:text/html;base64,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\" style=\"position:absolute;width:100%;height:100%;left:0;top:0;\"></iframe></div></div>" ], "text/plain": [ "<folium.folium.Map at 0x7fda1973a128>" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "N=1000\n", "lons = +5 - np.random.normal(size=N)\n", "lats = 48 - np.random.normal(size=N)\n", "\n", "data = {\n", " \"type\": \"FeatureCollection\",\n", " \"features\": [\n", " {\n", " \"type\": \"Feature\",\n", " \"geometry\": {\n", " \"type\": \"MultiPoint\",\n", " \"coordinates\": [[lon, lat] for (lat,lon) in zip(lats,lons)],\n", " },\n", " \"properties\": {\"prop0\": \"value0\"}\n", " },\n", " ],\n", " }\n", "m = folium.Map([48.,5.], zoom_start=6)\n", "m.add_children(features.GeoJson(data))\n", "m" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Marker Cluster" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"width:100%;\"><div style=\"position:relative;width:100%;height:0;padding-bottom:60%;\"><iframe src=\"data:text/html;base64,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\" style=\"position:absolute;width:100%;height:100%;left:0;top:0;\"></iframe></div></div>" ], "text/plain": [ "<folium.folium.Map at 0x7fda197f2f98>" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "N = 100\n", "data = np.array([\n", " np.random.uniform(low=35,high=60, size=N), # random latitudes in Europe\n", " np.random.uniform(low=-12,high=30, size=N), # random longitudes in Europe\n", " range(N), # popups are simple numbers \n", " ]).T\n", "m = folium.Map([45.,3.], zoom_start=4)\n", "mc = features.MarkerCluster()\n", "\n", "for i in range(N):\n", " mk = features.Marker([data[i][0],data[i][1]])\n", " p = features.Popup(str(data[i][2]))\n", " mk.add_children(p)\n", " mc.add_children(mk)\n", "\n", "m.add_children(mc)\n", "m" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Div" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"width:100%;\"><div style=\"position:relative;width:100%;height:0;padding-bottom:60%;\"><iframe src=\"data:text/html;base64,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\" style=\"position:absolute;width:100%;height:100%;left:0;top:0;\"></iframe></div></div>" ], "text/plain": [ "<branca.element.Figure at 0x7fda19754470>" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import vincent, json\n", "import numpy as np\n", "N=100\n", "multi_iter2 = {'x' : np.random.uniform(size=(N,)),\n", " 'y' : np.random.uniform(size=(N,)),\n", " }\n", "scatter = vincent.Scatter(multi_iter2, iter_idx='x', height=250, width=420)\n", "data = json.loads(scatter.to_json())\n", "\n", "f = branca.element.Figure()\n", "\n", "d1 = f.add_subplot(1,2,1)\n", "d2 = f.add_subplot(1,2,2)\n", "\n", "d1.add_children(folium.Map([0,0], tiles='stamenwatercolor', zoom_start=1))\n", "d2.add_children(folium.Map([46,3], tiles='mapquestopen', zoom_start=5))\n", "\n", "f" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### LayerControl" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"width:100%;\"><div style=\"position:relative;width:100%;height:0;padding-bottom:60%;\"><iframe src=\"data:text/html;base64,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\" style=\"position:absolute;width:100%;height:100%;left:0;top:0;\"></iframe></div></div>" ], "text/plain": [ "<folium.folium.Map at 0x7fda197bb208>" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "m = folium.Map(tiles=None)\n", "\n", "folium.TileLayer('mapquestopen').add_to(m)\n", "folium.TileLayer('stamentoner').add_to(m)\n", "\n", "folium.LayerControl().add_to(m)\n", "m" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### ScrollZoomToggler" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import folium.plugins" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"width:100%;\"><div style=\"position:relative;width:100%;height:0;padding-bottom:60%;\"><iframe src=\"data:text/html;base64,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\" style=\"position:absolute;width:100%;height:100%;left:0;top:0;\"></iframe></div></div>" ], "text/plain": [ "<folium.folium.Map at 0x7fda1957c780>" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "m = folium.Map()\n", "\n", "folium.plugins.ScrollZoomToggler().add_to(m)\n", "\n", "m" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Terminator" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"width:100%;\"><div style=\"position:relative;width:100%;height:0;padding-bottom:60%;\"><iframe src=\"data:text/html;base64,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\" style=\"position:absolute;width:100%;height:100%;left:0;top:0;\"></iframe></div></div>" ], "text/plain": [ "<folium.folium.Map at 0x7fda19559c88>" ] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "m = folium.Map()\n", "\n", "folium.plugins.Terminator().add_to(m)\n", "\n", "m" ] } ], "metadata": { "kernelspec": { "display_name": "prototyping", "language": "python", "name": "prototyping" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
bloomberg/bqplot
examples/Interactions/Interaction Layer.ipynb
2
25483
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import pandas as pd\n", "import numpy as np\n", "\n", "symbol = \"Security 1\"\n", "symbol2 = \"Security 2\"" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "price_data = pd.DataFrame(\n", " np.cumsum(np.random.randn(150, 2).dot([[0.5, 0.4], [0.4, 1.0]]), axis=0) + 100,\n", " columns=[\"Security 1\", \"Security 2\"],\n", " index=pd.date_range(start=\"01-01-2007\", periods=150),\n", ")\n", "\n", "dates_actual = price_data.index.values\n", "prices = price_data[symbol].values" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from bqplot import DateScale, LinearScale, Axis, Lines, Scatter, Bars, Hist, Figure\n", "from bqplot.interacts import (\n", " FastIntervalSelector,\n", " IndexSelector,\n", " BrushIntervalSelector,\n", " BrushSelector,\n", " MultiSelector,\n", " LassoSelector,\n", " PanZoom,\n", " HandDraw,\n", ")\n", "from traitlets import link\n", "\n", "from ipywidgets import ToggleButtons, VBox, HTML" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Line Chart Selectors" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Fast Interval Selector" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## First we define a Figure\n", "dt_x_fast = DateScale()\n", "lin_y = LinearScale()\n", "\n", "x_ax = Axis(label=\"Index\", scale=dt_x_fast)\n", "x_ay = Axis(label=(symbol + \" Price\"), scale=lin_y, orientation=\"vertical\")\n", "lc = Lines(\n", " x=dates_actual, y=prices, scales={\"x\": dt_x_fast, \"y\": lin_y}, colors=[\"orange\"]\n", ")\n", "lc_2 = Lines(\n", " x=dates_actual[50:],\n", " y=prices[50:] + 2,\n", " scales={\"x\": dt_x_fast, \"y\": lin_y},\n", " colors=[\"blue\"],\n", ")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## Next we define the type of selector we would like\n", "intsel_fast = FastIntervalSelector(scale=dt_x_fast, marks=[lc, lc_2])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## Now, we define a function that will be called when the FastIntervalSelector is interacted with\n", "def fast_interval_change_callback(change):\n", " db_fast.value = \"The selected period is \" + str(change.new)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## Now we connect the selectors to that function\n", "intsel_fast.observe(fast_interval_change_callback, names=[\"selected\"])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## We use the HTML widget to see the value of what we are selecting and modify it when an interaction is performed\n", "## on the selector\n", "db_fast = HTML()\n", "db_fast.value = \"The selected period is \" + str(intsel_fast.selected)\n", "\n", "fig_fast_intsel = Figure(\n", " marks=[lc, lc_2],\n", " axes=[x_ax, x_ay],\n", " title=\"Fast Interval Selector Example\",\n", " interaction=intsel_fast,\n", ") # This is where we assign the interaction to this particular Figure\n", "\n", "VBox([db_fast, fig_fast_intsel])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Index Selector" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "db_index = HTML(value=\"[]\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## Now we try a selector made to select all the y-values associated with a single x-value\n", "index_sel = IndexSelector(scale=dt_x_fast, marks=[lc, lc_2])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## Now, we define a function that will be called when the selectors are interacted with\n", "def index_change_callback(change):\n", " db_index.value = \"The selected date is \" + str(change.new)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "index_sel.observe(index_change_callback, names=[\"selected\"])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "fig_index_sel = Figure(\n", " marks=[lc, lc_2],\n", " axes=[x_ax, x_ay],\n", " title=\"Index Selector Example\",\n", " interaction=index_sel,\n", ")\n", "VBox([db_index, fig_index_sel])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Returning indexes of selected values" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from datetime import datetime as py_dtime\n", "\n", "dt_x_index = DateScale(min=np.datetime64(py_dtime(2006, 6, 1)))\n", "lin_y2 = LinearScale()\n", "\n", "lc2_index = Lines(x=dates_actual, y=prices, scales={\"x\": dt_x_index, \"y\": lin_y2})\n", "\n", "x_ax1 = Axis(label=\"Date\", scale=dt_x_index)\n", "x_ay2 = Axis(label=(symbol + \" Price\"), scale=lin_y2, orientation=\"vertical\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "intsel_date = FastIntervalSelector(scale=dt_x_index, marks=[lc2_index])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "db_date = HTML()\n", "db_date.value = str(intsel_date.selected)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## Now, we define a function that will be called when the selectors are interacted with - a callback\n", "def date_interval_change_callback(change):\n", " db_date.value = str(change.new)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## Notice here that we call the observe on the Mark lc2_index rather than on the selector intsel_date\n", "lc2_index.observe(date_interval_change_callback, names=[\"selected\"])\n", "\n", "fig_date_mark = Figure(\n", " marks=[lc2_index],\n", " axes=[x_ax1, x_ay2],\n", " title=\"Fast Interval Selector Selected Indices Example\",\n", " interaction=intsel_date,\n", ")\n", "\n", "VBox([db_date, fig_date_mark])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Brush Selector" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### We can do the same with any type of selector" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## Defining a new Figure\n", "dt_x_brush = DateScale(min=np.datetime64(py_dtime(2006, 6, 1)))\n", "lin_y2_brush = LinearScale()\n", "\n", "lc3_brush = Lines(x=dates_actual, y=prices, scales={\"x\": dt_x_brush, \"y\": lin_y2_brush})\n", "\n", "x_ax_brush = Axis(label=\"Date\", scale=dt_x_brush)\n", "x_ay_brush = Axis(label=(symbol + \" Price\"), scale=lin_y2_brush, orientation=\"vertical\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "db_brush = HTML(value=\"[]\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "brushsel_date = BrushIntervalSelector(\n", " scale=dt_x_brush, marks=[lc3_brush], color=\"FireBrick\"\n", ")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## Now, we define a function that will be called when the selectors are interacted with - a callback\n", "def date_brush_change_callback(change):\n", " db_brush.value = str(change.new)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "lc3_brush.observe(date_brush_change_callback, names=[\"selected\"])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "fig_brush_sel = Figure(\n", " marks=[lc3_brush],\n", " axes=[x_ax_brush, x_ay_brush],\n", " title=\"Brush Selector Selected Indices Example\",\n", " interaction=brushsel_date,\n", ")\n", "\n", "VBox([db_brush, fig_brush_sel])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Scatter Chart Selectors" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Brush Selector" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "date_fmt = \"%m-%d-%Y\"\n", "\n", "sec2_data = price_data[symbol2].values\n", "dates = price_data.index.values" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sc_x = LinearScale()\n", "sc_y = LinearScale()\n", "\n", "scatt = Scatter(x=prices, y=sec2_data, scales={\"x\": sc_x, \"y\": sc_y})\n", "\n", "sc_xax = Axis(label=(symbol), scale=sc_x)\n", "sc_yax = Axis(label=(symbol2), scale=sc_y, orientation=\"vertical\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "br_sel = BrushSelector(x_scale=sc_x, y_scale=sc_y, marks=[scatt], color=\"red\")\n", "\n", "db_scat_brush = HTML(value=\"[]\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## call back for the selector\n", "def brush_callback(change):\n", " db_scat_brush.value = str(br_sel.selected)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "br_sel.observe(brush_callback, names=[\"brushing\"])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "fig_scat_brush = Figure(\n", " marks=[scatt],\n", " axes=[sc_xax, sc_yax],\n", " title=\"Scatter Chart Brush Selector Example\",\n", " interaction=br_sel,\n", ")" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "scrolled": false }, "outputs": [], "source": [ "VBox([db_scat_brush, fig_scat_brush])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Brush Selector with Date Values" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sc_brush_dt_x = DateScale(date_format=date_fmt)\n", "sc_brush_dt_y = LinearScale()\n", "\n", "scatt2 = Scatter(\n", " x=dates_actual, y=sec2_data, scales={\"x\": sc_brush_dt_x, \"y\": sc_brush_dt_y}\n", ")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "br_sel_dt = BrushSelector(x_scale=sc_brush_dt_x, y_scale=sc_brush_dt_y, marks=[scatt2])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "db_brush_dt = HTML(value=str(br_sel_dt.selected))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## call back for the selector\n", "def brush_dt_callback(change):\n", " db_brush_dt.value = str(br_sel_dt.selected)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "br_sel_dt.observe(brush_dt_callback, names=[\"brushing\"])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sc_xax = Axis(label=(symbol), scale=sc_brush_dt_x)\n", "sc_yax = Axis(label=(symbol2), scale=sc_brush_dt_y, orientation=\"vertical\")\n", "fig_brush_dt = Figure(\n", " marks=[scatt2],\n", " axes=[sc_xax, sc_yax],\n", " title=\"Brush Selector with Dates Example\",\n", " interaction=br_sel_dt,\n", ")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "VBox([db_brush_dt, fig_brush_dt])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Histogram Selectors" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## call back for selectors\n", "def interval_change_callback(name, value):\n", " db3.value = str(value)\n", "\n", "\n", "## call back for the selector\n", "def brush_callback(change):\n", " if not br_intsel.brushing:\n", " db3.value = str(br_intsel.selected)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "returns = np.log(prices[1:]) - np.log(prices[:-1])\n", "hist_x = LinearScale()\n", "hist_y = LinearScale()\n", "hist = Hist(sample=returns, scales={\"sample\": hist_x, \"count\": hist_y})\n", "\n", "br_intsel = BrushIntervalSelector(scale=hist_x, marks=[hist])\n", "br_intsel.observe(brush_callback, names=[\"selected\"])\n", "br_intsel.observe(brush_callback, names=[\"brushing\"])\n", "\n", "db3 = HTML()\n", "db3.value = str(br_intsel.selected)\n", "\n", "h_xax = Axis(\n", " scale=hist_x, label=\"Returns\", grids=\"off\", set_ticks=True, tick_format=\"0.2%\"\n", ")\n", "h_yax = Axis(scale=hist_y, label=\"Freq\", orientation=\"vertical\", grid_lines=\"none\")\n", "\n", "fig_hist = Figure(\n", " marks=[hist],\n", " axes=[h_xax, h_yax],\n", " title=\"Histogram Selection Example\",\n", " interaction=br_intsel,\n", ")\n", "VBox([db3, fig_hist])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Multi Selector\n", "\n", "* This selector provides the ability to have multiple brush selectors on the same graph.\n", "* The first brush works like a regular brush.\n", "* `Ctrl + click` creates a new brush, which works like the regular brush.\n", "* The `active` brush has a Green border while all the `inactive` brushes have a Red border.\n", "* `Shift + click` deactivates the current `active` brush. Now, click on any `inactive` brush to make it `active`.\n", "* `Ctrl + Alt + Shift + click` clears and resets all the brushes." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "def multi_sel_callback(change):\n", " if not multi_sel.brushing:\n", " db4.value = str(multi_sel.selected)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "line_x = LinearScale()\n", "line_y = LinearScale()\n", "line = Lines(\n", " x=np.arange(100), y=np.random.randn(100), scales={\"x\": line_x, \"y\": line_y}\n", ")\n", "\n", "multi_sel = MultiSelector(scale=line_x, marks=[line])\n", "multi_sel.observe(multi_sel_callback, names=[\"selected\"])\n", "multi_sel.observe(multi_sel_callback, names=[\"brushing\"])\n", "\n", "db4 = HTML()\n", "db4.value = str(multi_sel.selected)\n", "\n", "h_xax = Axis(scale=line_x, label=\"Returns\", grid_lines=\"none\")\n", "h_yax = Axis(scale=hist_y, label=\"Freq\", orientation=\"vertical\", grid_lines=\"none\")\n", "\n", "fig_multi = Figure(\n", " marks=[line],\n", " axes=[h_xax, h_yax],\n", " title=\"Multi-Selector Example\",\n", " interaction=multi_sel,\n", ")\n", "VBox([db4, fig_multi])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# changing the names of the intervals.\n", "multi_sel.names = [\"int1\", \"int2\", \"int3\"]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Multi Selector with Date X" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "def multi_sel_dt_callback(change):\n", " if not multi_sel_dt.brushing:\n", " db_multi_dt.value = str(multi_sel_dt.selected)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "line_dt_x = DateScale(min=np.datetime64(py_dtime(2007, 1, 1)))\n", "line_dt_y = LinearScale()\n", "line_dt = Lines(\n", " x=dates_actual, y=sec2_data, scales={\"x\": line_dt_x, \"y\": line_dt_y}, colors=[\"red\"]\n", ")\n", "\n", "multi_sel_dt = MultiSelector(scale=line_dt_x)\n", "multi_sel_dt.observe(multi_sel_dt_callback, names=[\"selected\"])\n", "multi_sel_dt.observe(multi_sel_dt_callback, names=[\"brushing\"])\n", "\n", "db_multi_dt = HTML()\n", "db_multi_dt.value = str(multi_sel_dt.selected)\n", "\n", "h_xax_dt = Axis(scale=line_dt_x, label=\"Returns\", grid_lines=\"none\")\n", "h_yax_dt = Axis(\n", " scale=line_dt_y, label=\"Freq\", orientation=\"vertical\", grid_lines=\"none\"\n", ")\n", "\n", "fig_multi_dt = Figure(\n", " marks=[line_dt],\n", " axes=[h_xax_dt, h_yax_dt],\n", " title=\"Multi-Selector with Date Example\",\n", " interaction=multi_sel_dt,\n", ")\n", "VBox([db_multi_dt, fig_multi_dt])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Lasso Selector" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "lasso_sel = LassoSelector()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "xs, ys = LinearScale(), LinearScale()\n", "data = np.arange(20)\n", "line_lasso = Lines(x=data, y=data, scales={\"x\": xs, \"y\": ys})\n", "scatter_lasso = Scatter(x=data, y=data, scales={\"x\": xs, \"y\": ys}, colors=[\"skyblue\"])\n", "bar_lasso = Bars(x=data, y=data / 2.0, scales={\"x\": xs, \"y\": ys})\n", "xax_lasso, yax_lasso = Axis(scale=xs, label=\"X\"), Axis(\n", " scale=ys, label=\"Y\", orientation=\"vertical\"\n", ")\n", "fig_lasso = Figure(\n", " marks=[scatter_lasso, line_lasso, bar_lasso],\n", " axes=[xax_lasso, yax_lasso],\n", " title=\"Lasso Selector Example\",\n", " interaction=lasso_sel,\n", ")\n", "lasso_sel.marks = [scatter_lasso, line_lasso]\n", "fig_lasso" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "scatter_lasso.selected, line_lasso.selected" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Pan Zoom" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "xs_pz = DateScale(min=np.datetime64(py_dtime(2007, 1, 1)))\n", "ys_pz = LinearScale()\n", "line_pz = Lines(\n", " x=dates_actual, y=sec2_data, scales={\"x\": xs_pz, \"y\": ys_pz}, colors=[\"red\"]\n", ")\n", "\n", "panzoom = PanZoom(scales={\"x\": [xs_pz], \"y\": [ys_pz]})\n", "xax = Axis(scale=xs_pz, label=\"Date\", grids=\"off\")\n", "yax = Axis(scale=ys_pz, label=\"Price\", orientation=\"vertical\", grid_lines=\"none\")\n", "\n", "Figure(marks=[line_pz], axes=[xax, yax], interaction=panzoom)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Hand Draw" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "xs_hd = DateScale(min=np.datetime64(py_dtime(2007, 1, 1)))\n", "ys_hd = LinearScale()\n", "line_hd = Lines(\n", " x=dates_actual, y=sec2_data, scales={\"x\": xs_hd, \"y\": ys_hd}, colors=[\"red\"]\n", ")\n", "\n", "handdraw = HandDraw(lines=line_hd)\n", "xax = Axis(scale=xs_hd, label=\"Date\", grid_lines=\"none\")\n", "yax = Axis(scale=ys_hd, label=\"Price\", orientation=\"vertical\", grid_lines=\"none\")\n", "\n", "Figure(marks=[line_hd], axes=[xax, yax], interaction=handdraw)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Unified Figure with All Interactions" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "dt_x = DateScale(date_format=date_fmt, min=py_dtime(2007, 1, 1))\n", "lc1_x = LinearScale()\n", "lc2_y = LinearScale()\n", "\n", "lc2 = Lines(\n", " x=np.linspace(0.0, 10.0, len(prices)),\n", " y=prices * 0.25,\n", " scales={\"x\": lc1_x, \"y\": lc2_y},\n", " display_legend=True,\n", " labels=[\"Security 1\"],\n", ")\n", "\n", "lc3 = Lines(\n", " x=dates_actual,\n", " y=sec2_data,\n", " scales={\"x\": dt_x, \"y\": lc2_y},\n", " colors=[\"red\"],\n", " display_legend=True,\n", " labels=[\"Security 2\"],\n", ")\n", "\n", "lc4 = Lines(\n", " x=np.linspace(0.0, 10.0, len(prices)),\n", " y=sec2_data * 0.75,\n", " scales={\"x\": LinearScale(min=5, max=10), \"y\": lc2_y},\n", " colors=[\"green\"],\n", " display_legend=True,\n", " labels=[\"Security 2 squared\"],\n", ")\n", "\n", "x_ax1 = Axis(label=\"Date\", scale=dt_x)\n", "x_ax2 = Axis(label=\"Time\", scale=lc1_x, side=\"top\", grid_lines=\"none\")\n", "x_ay2 = Axis(label=(symbol + \" Price\"), scale=lc2_y, orientation=\"vertical\")\n", "\n", "\n", "fig = Figure(marks=[lc2, lc3, lc4], axes=[x_ax1, x_ax2, x_ay2])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## declaring the interactions\n", "multi_sel = MultiSelector(scale=dt_x, marks=[lc2, lc3])\n", "br_intsel = BrushIntervalSelector(scale=lc1_x, marks=[lc2, lc3])\n", "index_sel = IndexSelector(scale=dt_x, marks=[lc2, lc3])\n", "int_sel = FastIntervalSelector(scale=dt_x, marks=[lc3, lc2])\n", "\n", "hd = HandDraw(lines=lc2)\n", "hd2 = HandDraw(lines=lc3)\n", "pz = PanZoom(scales={\"x\": [dt_x], \"y\": [lc2_y]})\n", "\n", "deb = HTML()\n", "deb.value = \"[]\"" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "## Call back handler for the interactions\n", "def test_callback(change):\n", " deb.value = str(change.new)\n", "\n", "\n", "multi_sel.observe(test_callback, names=[\"selected\"])\n", "br_intsel.observe(test_callback, names=[\"selected\"])\n", "index_sel.observe(test_callback, names=[\"selected\"])\n", "int_sel.observe(test_callback, names=[\"selected\"])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from collections import OrderedDict\n", "\n", "selection_interacts = ToggleButtons(\n", " options=OrderedDict(\n", " [\n", " (\"HandDraw1\", hd),\n", " (\"HandDraw2\", hd2),\n", " (\"PanZoom\", pz),\n", " (\"FastIntervalSelector\", int_sel),\n", " (\"IndexSelector\", index_sel),\n", " (\"BrushIntervalSelector\", br_intsel),\n", " (\"MultiSelector\", multi_sel),\n", " (\"None\", None),\n", " ]\n", " )\n", ")\n", "\n", "link((selection_interacts, \"value\"), (fig, \"interaction\"))\n", "VBox([deb, fig, selection_interacts], align_self=\"stretch\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# Set the scales of lc4 to the ones of lc2 and check if panzoom pans the two.\n", "lc4.scales = lc2.scales" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.6" } }, "nbformat": 4, "nbformat_minor": 2 }
apache-2.0
crystalzhaizhai/cs207_yi_zhai
homeworks/HW6/HW6_finished.ipynb
1
64550
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Homework 6\n", "## Due: Tuesday, October 10 at 11:59 PM" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Problem 1: Bank Account Revisited\n", "\n", "We are going to rewrite the bank account closure problem we had a few assignments ago, only this time developing a formal class for a Bank User and Bank Account to use in our closure (recall previously we just had a nonlocal variable amount that we changed). " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Some Preliminaries:\n", "First we are going to define two types of bank accounts. Use the code below to do this:" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from enum import Enum\n", "class AccountType(Enum):\n", " SAVINGS = 1\n", " CHECKING = 2" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "An Enum stands for an enumeration, it's a convenient way for you to define lists of things. Typing:" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<AccountType.SAVINGS: 1>" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "AccountType.SAVINGS" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "returns a Python representation of an enumeration. You can compare these account types:" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "True" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "AccountType.SAVINGS == AccountType.SAVINGS" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "False" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "AccountType.SAVINGS == AccountType.CHECKING" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "To get a string representation of an Enum, you can use:" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "'SAVINGS'" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "AccountType.SAVINGS.name" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Part 1: Create a BankAccount class with the following specification:\n", "\n", "Constructor is `BankAccount(self, owner, accountType)` where `owner` is a string representing the name of the account owner and `accountType` is one of the AccountType enums\n", "\n", "Methods `withdraw(self, amount)` and `deposit(self, amount)` to modify the account balance of the account\n", "\n", "Override methods `__str__` to write an informative string of the account owner and the type of account, and `__len__` to return the balance of the account" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "class BankAccount():\n", " def __init__(self,owner,accountType):\n", " self.owner=owner\n", " self.accountType=accountType\n", " self.balance=0\n", " def withdraw(self,amount):\n", " if amount<0:\n", " raise ValueError(\"amount<0\")\n", " if self.balance<amount:\n", " raise ValueError(\"withdraw more than balance\")\n", " self.balance-=amount\n", " def deposit(self,amount):\n", " if amount<0:\n", " raise ValueError(\"amount<0\")\n", " self.balance+=amount\n", " def __str__(self):\n", " return \"owner:{!s} account type:{!s}\".format(self.owner,self.accountType.name)\n", " def __len__(self):\n", " return self.balance" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "myaccount=BankAccount(\"zhaizhai\",AccountType.CHECKING)" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\n" ] } ], "source": [ "\n", "print(myaccount.balance)\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Part 2: Write a class BankUser with the following specification:\n", "\n", "Constructor `BankUser(self, owner)` where `owner` is the name of the account.\n", "\n", "Method `addAccount(self, accountType)` - to start, a user will have no accounts when the BankUser object is created. `addAccount` will add a new account to the user of the `accountType` specified. **Only one savings/checking account per user, return appropriate error otherwise**\n", "\n", "Methods `getBalance(self, accountType)`, `deposit(self, accountType, amount)`, and `withdraw(self, accountType, amount)` for a specific AccountType.\n", "\n", "Override `__str__` to have an informative summary of user's accounts." ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": true }, "outputs": [], "source": [ "class BankUser():\n", " def __init__(self,owner):\n", " self.owner=owner\n", " self.SavingAccount=None\n", " self.CheckingAccount=None\n", " def addAccount(self,accountType):\n", " if accountType==AccountType.SAVINGS:\n", " if self.SavingAccount==None:\n", " self.SavingAccount=BankAccount(self.owner,accountType)\n", " else:\n", " print(\"more than one saving account!\")\n", " raise AttributeError(\"more than one saving account!\")\n", " elif accountType==AccountType.CHECKING:\n", " if self.CheckingAccount==None:\n", " self.CheckingAccount=BankAccount(self.owner,accountType)\n", " else:\n", " print(\"more than one checking account!\")\n", " raise AttributeError(\"more than one checking account!\")\n", " else:\n", " print(\"no such account type!\")\n", " raise ValueError(\"no such account type!\")\n", " def getBalance(self,accountType):\n", " if accountType==AccountType.SAVINGS:\n", " if self.SavingAccount==None:\n", " print(\"saving account not exist\")\n", " raise AttributeError(\"saving account not exist\")\n", " else:\n", " return self.SavingAccount.balance\n", " elif accountType==AccountType.CHECKING:\n", " if self.CheckingAccount==None:\n", " print(\"checking account not exist\")\n", " raise AttributeError(\"checking account not exist\")\n", " else:\n", " return self.CheckingAccount.balance\n", " else:\n", " print(\"no such account type!\")\n", " raise AttributeError(\"no such account type!\")\n", " \n", " def deposit(self,accountType,amount):\n", " if accountType==AccountType.SAVINGS:\n", " if self.SavingAccount==None:\n", " print(\"saving account not exist\")\n", " raise AttributeError(\"saving account not exist\")\n", " else:\n", " return self.SavingAccount.deposit(amount)\n", " elif accountType==AccountType.CHECKING:\n", " if self.CheckingAccount==None:\n", " print(\"checking account not exist\")\n", " raise AttributeError(\"checking account not exist\")\n", " else:\n", " return self.CheckingAccount.deposit(amount)\n", " else:\n", " print(\"no such account type!\")\n", " raise AttributeError(\"no such account type!\")\n", "\n", " \n", " def withdraw(self,accountType,amount):\n", " if accountType==AccountType.SAVINGS:\n", " if self.SavingAccount==None:\n", " print(\"saving account not exist\")\n", " raise AttributeError(\"saving account not exist\")\n", " else:\n", " return self.SavingAccount.withdraw(amount)\n", " elif accountType==AccountType.CHECKING:\n", " if self.CheckingAccount==None:\n", " print(\"checking account not exist\")\n", " raise AttributeError(\"checking account not exist\")\n", " else:\n", " return self.CheckingAccount.withdraw(amount)\n", " else:\n", " print(\"no such account type!\")\n", " raise AttributeError(\"no such account type!\")\n", " \n", " def __str__(self):\n", " s=\"owner:{!s}\".format(self.owner)\n", " if self.SavingAccount!=None:\n", " s=s+\"account type: Saving balance:{:.2f}\".format(self.SavingAccount.balance)\n", " if self.CheckingAccount!=None:\n", " s=s+\"account type: Checking balance:{:.2f}\".format(self.CheckingAccount.balance)\n", " return s\n", " " ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "owner:zhaizhai\n", "owner:zhaizhaiaccount type: Saving balance:0.00\n", "owner:zhaizhaiaccount type: Saving balance:1.00\n", "checking account not exist\n" ] }, { "ename": "AttributeError", "evalue": "checking account not exist", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mAttributeError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-11-7480a4657388>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 6\u001b[0m \u001b[0mnewuser\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mwithdraw\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mAccountType\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mSAVINGS\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;36m1\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 7\u001b[0m \u001b[0mprint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mnewuser\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 8\u001b[0;31m \u001b[0mnewuser\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mwithdraw\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mAccountType\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mCHECKING\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;36m1\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;32m<ipython-input-10-dcdc8d905e02>\u001b[0m in \u001b[0;36mwithdraw\u001b[0;34m(self, accountType, amount)\u001b[0m\n\u001b[1;32m 65\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mCheckingAccount\u001b[0m\u001b[0;34m==\u001b[0m\u001b[0;32mNone\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 66\u001b[0m \u001b[0mprint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m\"checking account not exist\"\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m---> 67\u001b[0;31m \u001b[0;32mraise\u001b[0m \u001b[0mAttributeError\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m\"checking account not exist\"\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 68\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 69\u001b[0m \u001b[0;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mCheckingAccount\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mwithdraw\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mamount\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;31mAttributeError\u001b[0m: checking account not exist" ] } ], "source": [ "newuser=BankUser(\"zhaizhai\")\n", "print(newuser)\n", "newuser.addAccount(AccountType.SAVINGS)\n", "print(newuser)\n", "newuser.deposit(AccountType.SAVINGS,2)\n", "newuser.withdraw(AccountType.SAVINGS,1)\n", "print(newuser)\n", "newuser.withdraw(AccountType.CHECKING,1)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Write some simple tests to make sure this is working. Think of edge scenarios a user might try to do." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Part 3: ATM Closure\n", "\n", "Finally, we are going to rewrite a closure to use our bank account. We will make use of the [input function](http://anh.cs.luc.edu/python/hands-on/3.1/handsonHtml/io.html) which takes user input to decide what actions to take.\n", "\n", "Write a closure called ATMSession(bankUser) which takes in a BankUser object. Return a method called Interface that when called, would provide the following interface:\n", "\n", "First screen for user will look like:\n", "\n", "\n", "**Enter Option:**\n", "\n", "**1)Exit**\n", "\n", "**2)Create Account**\n", "\n", "**3)Check Balance**\n", "\n", "**4)Deposit**\n", "\n", "**5)Withdraw**\n", "\n", "\n", "Pressing 1 will exit, any other option will show the options:\n", "\n", "\n", "\n", "**Enter Option:**\n", "\n", "**1)Checking**\n", "\n", "**2)Savings**\n", "\n", "\n", "If a deposit or withdraw was chosen, then there must be a third screen:\n", "\n", "\n", "\n", "**Enter Integer Amount, Cannot Be Negative:**\n", "\n", "This is to keep the code relatively simple, if you'd like you can also curate the options depending on the BankUser object (for example, if user has no accounts then only show the Create Account option), but this is up to you. In any case, you must handle any input from the user in a reasonable way that an actual bank would be okay with, and give the user a proper response to the action specified.\n", "\n", "Upon finishing a transaction or viewing balance, it should go back to the original screen" ] }, { "cell_type": "code", "execution_count": 183, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def ATMSession(bankUser):\n", " def Interface():\n", " option1=input(\"Enter Options:\\\n", " 1)Exit\\\n", " 2)Creat Account\\\n", " 3)Check Balance\\\n", " 4)Deposit\\\n", " 5)Withdraw\")\n", " if option1==\"1\":\n", " Interface()\n", " return\n", " option2=input(\"Enter Options:\\\n", " 1)Checking\\\n", " 2)Saving\")\n", " if option1==\"2\":\n", " if option2==\"1\":\n", " bankUser.addAccount(AccountType.CHECKING)\n", " Interface()\n", " return\n", " elif option2==\"2\":\n", " bankUser.addAccount(AccountType.SAVINGS)\n", " Interface()\n", " return\n", " else:\n", " print(\"no such account type\")\n", " raise AttributeError(\"no such account type\")\n", " if option1==\"3\":\n", " if option2==\"1\":\n", " print(bankUser.getBalance(AccountType.CHECKING))\n", " Interface()\n", " return\n", " elif option2==\"2\":\n", " print(bankUser.getBalance(AccountType.SAVINGS))\n", " Interface()\n", " return\n", " else:\n", " print(\"no such account type\")\n", " raise AttributeError(\"no such account type\")\n", " \n", " if option1==\"4\":\n", " option3=input(\"Enter Interger Amount, Cannot be Negative:\")\n", " if option2==\"1\":\n", " bankUser.deposit(AccountType.CHECKING,int(option3))\n", " Interface()\n", " return\n", " elif option2==\"2\":\n", " bankUser.deposit(AccountType.SAVINGS,int(option3))\n", " Interface()\n", " return\n", " else:\n", " print(\"no such account type\")\n", " raise AttributeError(\"no such account type\")\n", " \n", " if option1==\"5\":\n", " option3=input(\"Enter Interger Amount, Cannot be Negative:\")\n", " if option2==\"1\":\n", " bankUser.withdraw(AccountType.CHECKING,int(option3))\n", " Interface()\n", " return\n", " elif option2==\"2\":\n", " bankUser.withdraw(AccountType.SAVINGS,int(option3))\n", " Interface()\n", " return\n", " else:\n", " print(\"no such account type\")\n", " raise AttributeError(\"no such account type\")\n", " print(\"no such operation\")\n", " raise AttributeError(\"no such operation\")\n", " \n", " return Interface" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Enter Options: 1)Exit 2)Creat Account 3)Check Balance 4)Deposit 5)Withdraw1\n", "Enter Options: 1)Exit 2)Creat Account 3)Check Balance 4)Deposit 5)Withdraw1\n", "Enter Options: 1)Exit 2)Creat Account 3)Check Balance 4)Deposit 5)Withdraw2\n", "Enter Options: 1)Checking 2)Saving1\n", "Enter Options: 1)Exit 2)Creat Account 3)Check Balance 4)Deposit 5)Withdraw3\n", "Enter Options: 1)Checking 2)Saving1\n", "0\n", "Enter Options: 1)Exit 2)Creat Account 3)Check Balance 4)Deposit 5)Withdraw4\n", "Enter Options: 1)Checking 2)Saving1\n", "Enter Interger Amount, Cannot be Negative:100\n", "Enter Options: 1)Exit 2)Creat Account 3)Check Balance 4)Deposit 5)Withdraw3\n", "Enter Options: 1)Checking 2)Saving1\n", "100\n" ] } ], "source": [ "myATM=ATMSession(newuser)\n", "myATM()" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "owner:zhaizhaiaccount type: Saving balance:1.00\n" ] } ], "source": [ "print(newuser)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Part 4: Put everything in a module Bank.py\n", "\n", "We will be grading this problem with a test suite. Put the enum, classes, and closure in a single file named Bank.py. It is very important that the class and method specifications we provided are used (with the same capitalization), otherwise you will receive no credit." ] }, { "cell_type": "code", "execution_count": 169, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Overwriting bank.py\n" ] } ], "source": [ "%%file bank.py\n", "from enum import Enum\n", "class AccountType(Enum):\n", " SAVINGS = 1\n", " CHECKING = 2\n", " \n", "class BankAccount():\n", " def __init__(self,owner,accountType):\n", " self.owner=owner\n", " self.accountType=accountType\n", " self.balance=0\n", " def withdraw(self,amount):\n", " if type(amount)!=int:\n", " raise ValueError(\"not integer amount\")\n", " if amount<0:\n", " raise ValueError(\"amount<0\")\n", " if self.balance<amount:\n", " raise ValueError(\"withdraw more than balance\")\n", " self.balance-=amount\n", " def deposit(self,amount):\n", " if type(amount)!=int:\n", " raise ValueError(\"not integer amount\")\n", " if amount<0:\n", " raise ValueError(\"amount<0\")\n", " self.balance+=amount\n", " def __str__(self):\n", " return \"owner:{!s} account type:{!s}\".format(self.owner,self.accountType.name)\n", " def __len__(self):\n", " return self.balance\n", " \n", " \n", "def ATMSession(bankUser):\n", " def Interface():\n", " option1=input(\"Enter Options:\\\n", " 1)Exit\\\n", " 2)Creat Account\\\n", " 3)Check Balance\\\n", " 4)Deposit\\\n", " 5)Withdraw\")\n", " if option1==\"1\":\n", " return\n", " option2=input(\"Enter Options:\\\n", " 1)Checking\\\n", " 2)Saving\")\n", " if option1==\"2\":\n", " if option2==\"1\":\n", " bankUser.addAccount(AccountType.CHECKING)\n", " return\n", " elif option2==\"2\":\n", " bankUser.addAccount(AccountType.SAVINGS)\n", " return\n", " else:\n", " print(\"no such account type\")\n", " raise AttributeError(\"no such account type\")\n", " if option1==\"3\":\n", " if option2==\"1\":\n", " print(bankUser.getBalance(AccountType.CHECKING))\n", " return\n", " elif option2==\"2\":\n", " print(bankUser.getBalance(AccountType.SAVINGS))\n", " return\n", " else:\n", " print(\"no such account type\")\n", " raise AttributeError(\"no such account type\")\n", " \n", " if option1==\"4\":\n", " option3=input(\"Enter Interger Amount, Cannot be Negative:\")\n", " if option2==\"1\":\n", " bankUser.deposit(AccountType.CHECKING,int(option3))\n", " return\n", " elif option2==\"2\":\n", " bankUser.deposit(AccountType.SAVINGS,int(option3))\n", " return\n", " else:\n", " print(\"no such account type\")\n", " raise AttributeError(\"no such account type\")\n", " \n", " if option1==\"5\":\n", " option3=input(\"Enter Interger Amount, Cannot be Negative:\")\n", " if option2==\"1\":\n", " bankUser.withdraw(AccountType.CHECKING,int(option3))\n", " return\n", " elif option2==\"2\":\n", " bankUser.withdraw(AccountType.SAVINGS,int(option3))\n", " return\n", " else:\n", " print(\"no such account type\")\n", " raise AttributeError(\"no such account type\")\n", " print(\"no such operation\")\n", " raise AttributeError(\"no such operation\")\n", " return Interface" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "---" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Problem 2: Linear Regression Class\n", "\n", "Let's say you want to create Python classes for three related types of linear regression: Ordinary Least Squares Linear Regression, Ridge Regression, and Lasso Regression. " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Consider the multivariate linear model:\n", "\n", "$$y = X\\beta + \\epsilon$$\n", "\n", "where $y$ is a length $n$ vector, $X$ is an $m \\times p$ matrix, and $\\beta$\n", "is a $p$ length vector of coefficients.\n", "\n", "#### Ordinary Least Squares Linear Regression\n", "\n", "[OLS Regression](https://en.wikipedia.org/wiki/Ordinary_least_squares) seeks to minimize the following cost function:\n", "\n", "$$\\|y - \\beta\\mathbf {X}\\|^{2}$$\n", "\n", "The best fit coefficients can be obtained by:\n", "\n", "$$\\hat{\\beta} = (X^T X)^{-1}X^Ty$$\n", "\n", "where $X^T$ is the transpose of the matrix $X$ and $X^{-1}$ is the inverse of the matrix $X$.\n", "\n", "#### Ridge Regression\n", "\n", "[Ridge Regression](https://en.wikipedia.org/wiki/Tikhonov_regularization) introduces an L2 regularization term to the cost function:\n", "\n", "$$\\|y - \\beta\\mathbf {X}\\|^{2}+\\|\\Gamma \\mathbf {x} \\|^{2}$$\n", "\n", "Where $\\Gamma = \\alpha I$ for some constant $\\alpha$ and the identity matrix $I$.\n", "\n", "The best fit coefficients can be obtained by:\n", "$$\\hat{\\beta} = (X^T X+\\Gamma^T\\Gamma)^{-1}X^Ty$$\n", "\n", "#### Lasso Regression\n", "\n", "[Lasso Regression](https://en.wikipedia.org/wiki/Lasso_%28statistics%29) introduces an L1 regularization term and restricts the total number of predictor variables in the model.\n", "The following cost function:\n", "$${\\displaystyle \\min _{\\beta _{0},\\beta }\\left\\{{\\frac {1}{m}}\\left\\|y-\\beta _{0}-X\\beta \\right\\|_{2}^{2}\\right\\}{\\text{ subject to }}\\|\\beta \\|_{1}\\leq \\alpha.}$$\n", "\n", "does not have a nice closed form solution. For the sake of this exercise, you may use the [sklearn.linear_model.Lasso](http://scikit-learn.org/stable/modules/generated/sklearn.linear_model.Lasso.html) class, which uses a coordinate descent algorithm to find the best fit. You should only use the class in the fit() method of this exercise (ie. do not re-use the sklearn for other methods in your class).\n", "\n", "#### $R^2$ score\n", "\n", "The $R^2$ score is defined as:\n", "$${R^{2} = {1-{SS_E \\over SS_T}}}$$\n", "\n", "Where:\n", "\n", "$$SS_T=\\sum_i (y_i-\\bar{y})^2, SS_R=\\sum_i (\\hat{y_i}-\\bar{y})^2, SS_E=\\sum_i (y_i - \\hat{y_i})^2$$\n", "\n", "where ${y_i}$ are the original data values, $\\hat{y_i}$ are the predicted values, and $\\bar{y_i}$ is the mean of the original data values." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Part 1: Base Class\n", "Write a class called `Regression` with the following methods:\n", "\n", "$fit(X, y)$: Fits linear model to $X$ and $y$.\n", "\n", "$get\\_params()$: Returns $\\hat{\\beta}$ for the fitted model. The parameters should be stored in a dictionary.\n", "\n", "$predict(X)$: Predict new values with the fitted model given $X$.\n", "\n", "$score(X, y)$: Returns $R^2$ value of the fitted model.\n", "\n", "$set\\_params()$: Manually set the parameters of the linear model.\n", "\n", "This parent class should throw a `NotImplementedError` for methods that are intended to be implemented by subclasses." ] }, { "cell_type": "code", "execution_count": 133, "metadata": { "collapsed": true }, "outputs": [], "source": [ "class Regression():\n", " def __init__(self,X,y):\n", " self.X=X\n", " self.y=y\n", " self.alpha=0.1\n", " def fit(self,X,y):\n", " return\n", " def get_params(self):\n", " return self.beta\n", " def predict(self,X):\n", " import numpy as np\n", " return np.dot(X,self.beta) \n", " def score(self,X,y):\n", " return 1-np.sum((y-self.predict(X))**2)/np.sum((y-np.mean(y))**2)\n", " def set_params(self,alpha):\n", " self.alpha=alpha" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Part 2: OLS Linear Regression\n", "\n", "Write a class called `OLSRegression` that implements the OLS Regression model described above and inherits the `Regression` class." ] }, { "cell_type": "code", "execution_count": 134, "metadata": { "collapsed": true }, "outputs": [], "source": [ "class OLSRegression(Regression):\n", " def fit(self):\n", " import numpy as np\n", " X=self.X\n", " y=self.y\n", " self.beta=np.dot(np.dot(np.linalg.pinv(np.dot(np.transpose(X),X)),np.transpose(X)),y)\n" ] }, { "cell_type": "code", "execution_count": 135, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "array([[ 1.23076923],\n", " [ 1.84615385]])" ] }, "execution_count": 135, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ols1=OLSRegression([[2],[3]],[[1],[2]])\n", "ols1.fit()\n", "ols1.predict([[2],[3]])\n" ] }, { "cell_type": "code", "execution_count": 136, "metadata": { "collapsed": true }, "outputs": [], "source": [ "X=[[2],[3]]\n", "y=[[1],[2]]\n", "beta=np.dot(np.dot(np.linalg.pinv(np.dot(np.transpose(X),X)),np.transpose(X)),y)\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Part 3: Ridge Regression\n", "\n", "Write a class called `RidgeRegression` that implements Ridge Regression and inherits the `OLSRegression` class." ] }, { "cell_type": "code", "execution_count": 137, "metadata": { "collapsed": true }, "outputs": [], "source": [ "class RidgeRegression(Regression):\n", " def fit(self):\n", " import numpy as np\n", " X=self.X\n", " y=self.y\n", " self.beta=np.dot(np.dot(np.linalg.pinv(np.dot(np.transpose(X),X)+self.alpha**2),np.transpose(X)),y)\n", " return" ] }, { "cell_type": "code", "execution_count": 167, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "array([[ 1.22982321],\n", " [ 1.84473482]])" ] }, "execution_count": 167, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ridge1=RidgeRegression([[2],[3]],[[1],[2]])\n", "ridge1.fit()\n", "ridge1.predict([[2],[3]])" ] }, { "cell_type": "code", "execution_count": 168, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "0.84614802898024988" ] }, "execution_count": 168, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ridge1.score([[2],[3]],[[1],[2]])\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Part 3: Lasso Regression\n", "\n", "Write a class called `LassoRegression` that implements Lasso Regression and inherits the `OLSRegression` class. You should only use Lasso(), Lasso.fit(), Lasso.coef_, and Lasso._intercept from the `sklearn.linear_model.Lasso` class." ] }, { "cell_type": "code", "execution_count": 174, "metadata": { "collapsed": true }, "outputs": [], "source": [ "class LassoRegression(Regression):\n", " def fit(self):\n", " from sklearn.linear_model import Lasso\n", " myLs=Lasso(self.alpha)\n", " myLs.fit(self.X,self.y) \n", " self.beta=myLs.coef_.reshape((-1,1))\n", " self.beta0=myLs.intercept_ \n", " return\n", " def predict(self,X):\n", " import numpy as np\n", " return np.dot(X,self.beta)+self.beta0" ] }, { "cell_type": "code", "execution_count": 175, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "array([[ 1.2],\n", " [ 1.8]])" ] }, "execution_count": 175, "metadata": {}, "output_type": "execute_result" } ], "source": [ "lasso1=LassoRegression([[2],[3]],[[1],[2]])\n", "lasso1.fit()\n", "lasso1.predict([[2],[3]])" ] }, { "cell_type": "code", "execution_count": 176, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "0.83999999999999986" ] }, "execution_count": 176, "metadata": {}, "output_type": "execute_result" } ], "source": [ "lasso1.score([[2],[3]],[[1],[2]])" ] }, { "cell_type": "code", "execution_count": 177, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[[ 0.]]\n", "[ 1.]\n" ] } ], "source": [ "from sklearn.linear_model import Lasso\n", "myLs=Lasso(alpha=0.1)\n", "myLs.fit([[2],[3]],[[1],[1]])\n", "beta=np.array(myLs.coef_)\n", "print(beta.reshape((-1,1)))\n", "beta0=myLs.intercept_\n", "print(beta0)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Part 4: Model Scoring\n", "You will use the [Boston dataset](http://scikit-learn.org/stable/modules/generated/sklearn.datasets.load_boston.html) for this part.\n", "\n", "Instantiate each of the three models above. Using a for loop, fit (on the training data) and score (on the testing data) each model on the Boston dataset. \n", "\n", "Print out the $R^2$ value for each model and the parameters for the best model using the `get_params()` method. Use an $\\alpha$ value of 0.1.\n", "\n", "**Hint:** You can consider using the `sklearn.model_selection.train_test_split` method to create the training and test datasets." ] }, { "cell_type": "code", "execution_count": 181, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "OLS score: 0.606485823238\n", "ridge score: 0.608592754167\n", "lasso score: 0.569957305614\n", "0.606485823238 0.608592754167 0.569957305614\n" ] }, { "data": { "text/plain": [ "array([[ 6.73680060e+01],\n", " [ -1.13763918e-01],\n", " [ 5.04899381e-02],\n", " [ -1.33731176e-01],\n", " [ 6.24911107e+00],\n", " [ -2.60415652e+01],\n", " [ 8.29884269e-01],\n", " [ 3.42101634e-02],\n", " [ -1.63806600e+00],\n", " [ 3.36799408e-01],\n", " [ -4.29562386e-03],\n", " [ -1.39206584e+00],\n", " [ 5.90599542e-03],\n", " [ -7.21366944e-01]])" ] }, "execution_count": 181, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from sklearn.datasets import load_boston\n", "from sklearn.model_selection import KFold\n", "from sklearn.metrics import r2_score\n", "import statsmodels.api as sm\n", "import numpy as np\n", "boston=load_boston()\n", "boston_x=boston.data\n", "boston_y=boston.target\n", "\n", "kf=KFold(n_splits=2)\n", "kf.get_n_splits(boston)\n", "ols1_m=0\n", "ridge1_m=0\n", "lasso1_m=0\n", "\n", "for train_index, test_index in kf.split(boston_x):\n", " \n", " X_train, X_test = boston_x[train_index], boston_x[test_index]\n", " y_train, y_test = boston_y[train_index], boston_y[test_index]\n", " \n", " y_train=y_train.reshape(-1,1)\n", " y_test=y_test.reshape(-1,1)\n", " \n", " \n", "\n", " ols1=OLSRegression(sm.add_constant(X_train),y_train)\n", " ols1.fit()\n", " ols1_m+=ols1.score(sm.add_constant(X_test),y_test)\n", " print(\"OLS score:\",ols1.score(sm.add_constant(X_test),y_test))\n", "\n", " ridge1=RidgeRegression(sm.add_constant(X_train),y_train)\n", " ridge1.fit()\n", " ridge1_m+=ridge1.score(sm.add_constant(X_test),y_test)\n", " print(\"ridge score:\",ridge1.score(sm.add_constant(X_test),y_test))\n", " \n", " lasso1=LassoRegression(X_train,y_train)\n", " lasso1.fit()\n", " lasso1_m+=lasso1.score(X_test,y_test)\n", " print(\"lasso score:\",lasso1.score(X_test,y_test))\n", " \n", " break\n", " \n", "print(ols1_m,ridge1_m,lasso1_m)\n", " \n", "ols1.get_params() \n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Part 5: Visualize Model Performance\n", "\n", "We can evaluate how the models perform for various values of $\\alpha$. Calculate the $R^2$ scores for each model for $\\alpha \\in [0.05, 1]$ and plot the three lines on the same graph. To change the parameters, use the `set_params()` method. Be sure to label each line and add axis labels." ] }, { "cell_type": "code", "execution_count": 179, "metadata": { "collapsed": true }, "outputs": [], "source": [ "ols_r=[]\n", "ridge_r=[]\n", "lasso_r=[]\n", "alpha_l=[]\n", "for alpha_100 in range(5,100,5):\n", " alpha=alpha_100/100\n", " alpha_l.append(alpha)\n", " for train_index, test_index in kf.split(boston_x):\n", " \n", " X_train, X_test = boston_x[train_index], boston_x[test_index]\n", " y_train, y_test = boston_y[train_index], boston_y[test_index]\n", " \n", " y_train=y_train.reshape(-1,1)\n", " y_test=y_test.reshape(-1,1)\n", "\n", " ols1=OLSRegression(sm.add_constant(X_train),y_train)\n", " ols1.set_params(alpha)\n", " ols1.fit()\n", " ols_r.append(ols1.score(sm.add_constant(X_test),y_test))\n", " \n", " ridge1=RidgeRegression(sm.add_constant(X_train),y_train)\n", " ridge1.set_params(alpha)\n", " ridge1.fit()\n", " ridge_r.append(ridge1.score(sm.add_constant(X_test),y_test))\n", " \n", " \n", " lasso1=LassoRegression(X_train,y_train)\n", " lasso1.set_params(alpha)\n", " lasso1.fit()\n", " lasso_r.append(lasso1.score(X_test,y_test))\n", " \n", " break\n", " " ] }, { "cell_type": "code", "execution_count": 180, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAY8AAAEWCAYAAACe8xtsAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYpEAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11b196240>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import matplotlib.pyplot as plt\n", "plt.plot(alpha_l,ols_r,label=\"linear regression\")\n", "plt.plot(alpha_l,ridge_r,label=\"ridge\")\n", "plt.plot(alpha_l,lasso_r,label=\"lasso\")\n", "plt.xlabel(\"alpha\")\n", "plt.ylabel(\"$R^{2}$\")\n", "plt.title(\"the relation of R squared with alpha\")\n", "plt.legend()\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
dm-wyncode/zipped-code
error_files/embrace_hug.ipynb
1
5583
{ "cells": [ { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import hug\n", "import webcolors" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "@hug.get()\n", "def hextoname(hex: hug.types.text):\n", " return webcolors.hex_to_name('#' + hex)" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": true }, "outputs": [], "source": [ "@hug.get()\n", "def nametohex(name: hug.types.text):\n", " return webcolors.name_to_hex(name)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "*hug started from the command line in another terminal*\n", "\n", "```bash\n", "hug -f embrace_hug.py -p 3000\n", "```" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "{\r\n", " \"404\": \"The API call you tried to make was not defined. Here's a definition of the API to help you get going :)\",\r\n", " \"documentation\": {\r\n", " \"handlers\": {\r\n", " \"/hextoname\": {\r\n", " \"GET\": {\r\n", " \"outputs\": {\r\n", " \"format\": \"JSON (Javascript Serialized Object Notation)\",\r\n", " \"content_type\": \"application/json\"\r\n", " },\r\n", " \"inputs\": {\r\n", " \"hex\": {\r\n", " \"type\": \"Basic text / string value\"\r\n", " }\r\n", " }\r\n", " }\r\n", " },\r\n", " \"/nametohex\": {\r\n", " \"GET\": {\r\n", " \"outputs\": {\r\n", " \"format\": \"JSON (Javascript Serialized Object Notation)\",\r\n", " \"content_type\": \"application/json\"\r\n", " },\r\n", " \"inputs\": {\r\n", " \"name\": {\r\n", " \"type\": \"Basic text / string value\"\r\n", " }\r\n", " }\r\n", " }\r\n", " }\r\n", " }\r\n", " }\r\n", "}" ] } ], "source": [ "!curl http://localhost:3000\n", "# expect to get help because an undefined call was made" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "{\"errors\": {\"name\": \"Required parameter 'name' not supplied\"}}" ] } ], "source": [ "!curl http://localhost:3000/nametohex\n", "# expect to get error because name not supplied" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\"#ff0000\"" ] } ], "source": [ "!curl http://localhost:3000/nametohex/?name=red \n", "# expect to get a hex color for red" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\"#32cd32\"" ] } ], "source": [ "!curl http://localhost:3000/nametohex/?name=limegreen \n", "# expect to get a hex color for limegreen" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\"limegreen\"" ] } ], "source": [ "!curl http://localhost:3000/hextoname/?hex=32cd32 \n", "# expect to get a word for the color" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[NbConvertApp] Converting notebook embrace_hug.ipynb to pdf\n", "[NbConvertApp] Writing 18711 bytes to notebook.tex\n", "[NbConvertApp] Building PDF\n", "[NbConvertApp] Running pdflatex 3 times: ['pdflatex', 'notebook.tex']\n", "[NbConvertApp] Running bibtex 1 time: ['bibtex', 'notebook']\n", "[NbConvertApp] WARNING | bibtex had problems, most likely because there were no citations\n", "[NbConvertApp] PDF successfully created\n", "[NbConvertApp] Writing 57957 bytes to embrace_hug.pdf\n" ] } ], "source": [ "!jupyter nbconvert --to pdf embrace_hug.ipynb" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
VUInformationRetrieval/IR2016_2017
04_analysis.ipynb
1
51342
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Mini-Assignment 4: Link Analysis" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In this mini-assignment, we will exploit graph algorithms to improve search results. For our dataset of scientific papers, we look at two graphs in particular: the co-authorship network and the citation network.\n", "\n", "The citation network is similar to the link network of the web: Citations are like web links pointing to other documents. We can therefore apply the same network-based ranking methods." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Code from previous exercises" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import pickle, bz2\n", "from collections import defaultdict, namedtuple, Counter\n", "from math import log10, sqrt\n", "from IPython.display import display, HTML\n", "import matplotlib.pyplot as plt\n", "\n", "# show plots inline within the notebook\n", "%matplotlib inline\n", "# set plots' resolution\n", "plt.rcParams['savefig.dpi'] = 100" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "Ids_file = 'data/malaria__Ids.pkl.bz2'\n", "Summaries_file = 'data/malaria__Summaries.pkl.bz2'\n", "Citations_file = 'data/malaria__Citations.pkl.bz2'\n", "Abstracts_file = 'data/malaria__Abstracts.pkl.bz2'\n", "\n", "Ids = pickle.load( bz2.BZ2File( Ids_file, 'rb' ) )\n", "Summaries = pickle.load( bz2.BZ2File( Summaries_file, 'rb' ) )\n", "Citations = pickle.load( bz2.BZ2File( Citations_file, 'rb' ) )\n", "Abstracts = pickle.load( bz2.BZ2File( Abstracts_file, 'rb' ) )\n", "\n", "paper = namedtuple( 'paper', ['title', 'authors', 'year', 'doi'] )\n", "\n", "for (id, paper_info) in Summaries.items():\n", " Summaries[id] = paper( *paper_info )" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def display_summary( id, show_abstract=False, show_id=True, extra_text='' ):\n", " \"\"\"\n", " Function for printing a paper's summary through IPython's Rich Display System.\n", " Trims long author lists, and adds a link to the paper's DOI (when available).\n", " \"\"\"\n", " s = Summaries[id]\n", " lines = []\n", " title = s.title\n", " if s.doi != '':\n", " title = '<a href=http://dx.doi.org/%s>%s</a>' % (s.doi, title)\n", " title = '<strong>' + title + '</strong>'\n", " lines.append(title)\n", " authors = ', '.join( s.authors[:20] ) + ('' if len(s.authors) <= 20 else ', ...')\n", " lines.append(str(s.year) + '. ' + authors)\n", " if (show_abstract):\n", " lines.append('<small><strong>Abstract:</strong> <em>%s</em></small>' % Abstracts[id])\n", " if (show_id):\n", " lines.append('[ID: %d]' % id)\n", " if (extra_text != ''):\n", " lines.append(extra_text)\n", " display( HTML('<br>'.join(lines)) )" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def tokenize(text):\n", " return text.split(' ')\n", "\n", "def preprocess(tokens):\n", " result = []\n", " for token in tokens:\n", " result.append(token.lower())\n", " return result" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [], "source": [ "inverted_index = defaultdict(set)\n", "\n", "for (id, abstract) in Abstracts.items():\n", " for term in preprocess(tokenize(abstract)):\n", " inverted_index[term].add(id)\n", "\n", "tf_matrix = defaultdict(Counter)\n", "length_values = defaultdict(int)\n", "\n", "for (doc_id, abstract) in Abstracts.items():\n", " tokens = preprocess(tokenize(abstract))\n", " tf_matrix[doc_id] = Counter(tokens)\n", " l = 0\n", " for t in tf_matrix[doc_id].keys():\n", " l += tf_matrix[doc_id][t] ** 2\n", " length_values[doc_id] = sqrt(l)\n", "\n", "def tf(t,d):\n", " return float(tf_matrix[d][t])\n", "\n", "def df(t):\n", " return float(len(inverted_index[t]))\n", " \n", "def num_documents():\n", " return float(len(Abstracts))\n", "\n", "def length_tf(d):\n", " return length_values[d]\n", "\n", "def tfidf(t,d):\n", " return tf(t,d) * log10(num_documents()/df(t))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Co-authorship network" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We start by building a mapping from authors to the set of identifiers of papers they authored. We'll be using Python's [sets](http://docs.python.org/3/library/stdtypes.html#set-types-set-frozenset) again for that purpose." ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "papers_of_author = defaultdict(set)\n", "\n", "for (id, p) in Summaries.items():\n", " for a in p.authors:\n", " papers_of_author[a].add(id)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's try it out:" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "{24130474, 26456841}" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "papers_of_author['Clauset A']" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<strong><a href=http://dx.doi.org/10.1038/ncomms9368>Ape parasite origins of human malaria virulence genes.</a></strong><br>2015. Larremore DB, Sundararaman SA, Liu W, Proto WR, Clauset A, Loy DE, Speede S, Plenderleith LJ, Sharp PM, Hahn BH, Rayner JC, Buckee CO<br>[ID: 26456841]" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<strong><a href=http://dx.doi.org/10.1371/journal.pcbi.1003268>A network approach to analyzing highly recombinant malaria parasite genes.</a></strong><br>2013. Larremore DB, Clauset A, Buckee CO<br>[ID: 24130474]" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "for id in papers_of_author['Clauset A']:\n", " display_summary(id)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can now build a co-authorship network, that is a graph linking authors to the set of co-authors they have published with:" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [], "source": [ "coauthors = defaultdict(set)\n", "\n", "for p in Summaries.values():\n", " for a in p.authors:\n", " coauthors[a].update(p.authors)\n", "\n", "# The code above results in each author being listed as having co-authored with himself/herself.\n", "# We remove these self-references here:\n", "for (a, ca) in coauthors.items():\n", " ca.remove(a)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "And let's try it out again:" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Liu W, Plenderleith LJ, Rayner JC, Sharp PM, Larremore DB, Buckee CO, Proto WR, Loy DE, Speede S, Hahn BH, Sundararaman SA\n" ] } ], "source": [ "print(', '.join( coauthors['Clauset A'] ))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now we can have a look at some basic statistics about our graph:" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Number of nodes (authors): 114572\n", "Number of links (co-authorship relations): 2488486\n" ] } ], "source": [ "print('Number of nodes (authors): ', len(coauthors))\n", "\n", "coauthor_rel_count = sum( len(c) for c in coauthors.values() )\n", "print('Number of links (co-authorship relations): ', coauthor_rel_count)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "With this data at hand, we can plot the [degree distribution](https://en.wikipedia.org/wiki/Degree_distribution) by showing the number of collaborators a scientist has published with:" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAisAAAF5CAYAAABeAGpJAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f58f4895400>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.hist( x=[ len(ca) for ca in coauthors.values() ], bins=range(60) )\n", "plt.xlabel('number of co-authors')\n", "plt.ylabel('number of researchers')\n", "plt.xlim(0,51);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Citations network" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next, we can look at the citation network. We'll start by expanding the our data about citations into two mappings: \n", "\n", "* `papers_citing[id]`: papers citing a given paper\n", "* `cited_by[id]`: papers cited by a given paper (in other words: its list of references)\n", "\n", "`papers_citing` will give us the list of a node's incoming links, whereas `cited_by` will give us the list of its outgoing links." ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [], "source": [ "papers_citing = Citations # no changes needed, this is what we are storing already in the Citations dataset\n", "\n", "cited_by = defaultdict(list)\n", "\n", "for ref, papers_citing_ref in papers_citing.items():\n", " for id in papers_citing_ref:\n", " cited_by[ id ].append( ref )" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<strong><a href=http://dx.doi.org/10.1371/journal.pcbi.1003268>A network approach to analyzing highly recombinant malaria parasite genes.</a></strong><br>2013. Larremore DB, Clauset A, Buckee CO<br>[ID: 24130474]" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "display_summary(24130474)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "As we are dealing with a subset of the data, `papers_citing` can contain references to papers outside of our subset. On the other hand, the way we created `cited_by`, it will only contain backward references from within our dataset, meaning that it is incomplete with respect to the whole dataset. Nethertheless, we can use this citation network on our subset of malaria-related papers to implement link analysis techniques.\n", "\n", "Let us now look at an exemlary paper, let's say the one with identifier 24130474. We can now use the `cited_by` mapping to retrieve its (incomplete) list of references:" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "26 references found for paper 24130474\n" ] }, { "data": { "text/plain": [ "{7606788: 'The large diverse gene family var encodes proteins involved in cytoadherence and antigenic variation of Plasmodium falciparum-infected erythrocytes.',\n", " 9500614: 'Parasite antigens on the infected red cell surface are targets for naturally acquired immunity to malaria.',\n", " 9916084: 'Antibody recognition of Plasmodium falciparum erythrocyte surface antigens in Kenya: evidence for rare and prevalent variants.',\n", " 10086393: 'Immunity to non-cerebral severe malaria is acquired after one or two infections.',\n", " 10714439: 'Antibodies to variable Plasmodium falciparum-infected erythrocyte surface antigens are associated with protection from novel malaria infections.',\n", " 11069183: 'Frequent ectopic recombination of virulence factor genes in telomeric chromosome clusters of P. falciparum.',\n", " 11071284: 'Classification of adhesive domains in the Plasmodium falciparum erythrocyte membrane protein 1 family.',\n", " 11349035: 'Antibodies to variant antigens on the surfaces of infected erythrocytes are associated with protection from malaria in Ghanaian children.',\n", " 11544371: 'Antigenic variation at the infected red cell surface in malaria.',\n", " 11827798: 'The role of antibodies to Plasmodium falciparum-infected-erythrocyte surface antigens in naturally acquired immunity to malaria.',\n", " 12368864: 'Genome sequence of the human malaria parasite Plasmodium falciparum.',\n", " 14565852: 'Sub-grouping of Plasmodium falciparum 3D7 var genes based on sequence analysis of coding and non-coding regions.',\n", " 14651636: 'Evidence for the importance of genetic structuring to the structural and functional specialization of the Plasmodium falciparum var gene family.',\n", " 16304608: 'Plasmodium falciparum variant surface antigen expression patterns during malaria.',\n", " 16697476: 'Global genetic diversity and evolution of var genes associated with placental and severe childhood malaria.',\n", " 16814594: 'A family affair: var genes, PfEMP1 binding, and malaria disease.',\n", " 17286864: 'Patterns of gene recombination shape var gene repertoires in Plasmodium falciparum: comparisons of geographically diverse isolates.',\n", " 17367208: 'Population genomics of the immune evasion (var) genes of Plasmodium falciparum.',\n", " 17669514: 'Structural polymorphism and diversifying selection on the pregnancy malaria vaccine candidate VAR2CSA.',\n", " 18395207: 'Frequent recombination events generate diversity within the multi-copy variant antigen gene families of Plasmodium falciparum.',\n", " 20018734: 'Plasmodium falciparum var gene expression is modified by host immunity.',\n", " 20862303: 'Plasmodium falciparum erythrocyte membrane protein 1 diversity in seven genomes--divide and conquer.',\n", " 22496547: 'Prognostic indicators of life-threatening malaria are associated with distinct parasite variant antigen profiles.',\n", " 22511852: 'Evolution of the multi-domain structures of virulence genes in the human malaria parasite, Plasmodium falciparum.',\n", " 22850879: 'Targets of antibodies against Plasmodium falciparum-infected erythrocytes in malaria immunity.',\n", " 23408914: 'Mitotic evolution of Plasmodium falciparum shows a stable core genome but recombination in antigen families.'}" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "paper_id = 24130474\n", "refs = { id : Summaries[id].title for id in cited_by[paper_id] }\n", "print(len(refs), 'references found for paper', paper_id)\n", "refs" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If we lookup the same paper in `papers_citing`, we now see that some of the cited papers are themselves in our dataset, but others are not (shown below as `'??'`):" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "{25122340: '??',\n", " 25303095: '??',\n", " 25368109: 'Immune characterization of Plasmodium falciparum parasites with a shared genetic signature in a region of decreasing transmission.',\n", " 25521112: '??',\n", " 26456841: 'Ape parasite origins of human malaria virulence genes.',\n", " 27306566: '??'}" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "{ id : Summaries.get(id,['??'])[0] for id in papers_citing[paper_id] }" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Paper 25122340, for example, is not in our dataset and we do not have any direct information about it, but its repeated occurrence in other papers' citation lists does allow us to reconstruct some of its references. Below is the list of papers in our dataset cited by that paper:" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "2 references identified for the paper with id 25122340\n" ] }, { "data": { "text/plain": [ "{20862303: 'Plasmodium falciparum erythrocyte membrane protein 1 diversity in seven genomes--divide and conquer.',\n", " 24130474: 'A network approach to analyzing highly recombinant malaria parasite genes.'}" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "paper_id2 = 25122340\n", "refs2 = { id : Summaries[id].title for id in cited_by[paper_id2] }\n", "print(len(refs2), 'references identified for the paper with id', paper_id2)\n", "refs2" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now that we have a better understanding about the data we're dealing with, let us obtain again some basic statistics about our graph." ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Number of papers in our subset: 67028 (100.00 %)\n", "Number of papers cited at least once: 45340 (67.64 %)\n", "Number of isolated nodes: 18765 (28.00 %)\n" ] } ], "source": [ "n = len(Ids)\n", "print('Number of papers in our subset: %d (%.2f %%)' % (n, 100.0) )\n", "\n", "with_citation = [ id for id in Ids if papers_citing[id] != [] ]\n", "with_citation_rel = 100. * len(with_citation) / n\n", "print('Number of papers cited at least once: %d (%.2f %%)' % (len(with_citation), with_citation_rel) )\n", "\n", "isolated = set( id for id in Ids if papers_citing[id] == [] and id not in cited_by )\n", "isolated_rel = 100. * len(isolated) / n\n", "print('Number of isolated nodes: %d (%.2f %%)' % (len(isolated), isolated_rel) )" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Overall number of nodes: 146869 (100.00 %)\n", "Number of non-isolated nodes: 128104 (87.22 %)\n", "Number of nodes outside our subset: 79841 (54.36 %)\n" ] } ], "source": [ "id_set = set( Ids )\n", "citing_set = set( cited_by.keys() )\n", "\n", "outsiders = citing_set - id_set # set difference\n", "nodes = citing_set | id_set # set union\n", "non_isolated = nodes - isolated # set difference\n", "\n", "print('Overall number of nodes: %d (%.2f %%)' % (len(nodes), 100.0) )\n", "\n", "non_isolated_rel = 100. * len(non_isolated) / len(nodes)\n", "print('Number of non-isolated nodes: %d (%.2f %%)' % (len(non_isolated), non_isolated_rel) )\n", "\n", "outsiders_rel = 100. * len(outsiders) / len(nodes)\n", "print('Number of nodes outside our subset: %d (%.2f %%)' % ( len(outsiders), outsiders_rel ) )" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Overal number of links (citations): 552219 (100.00 %)\n", "Citations from outside the subset: 172094 (31.16 %)\n" ] } ], "source": [ "all_citations = [ c for citing in papers_citing.values() for c in citing ]\n", "outsider_citations = [ c for citing in papers_citing.values() for c in citing if c in outsiders ]\n", "\n", "print('Overal number of links (citations): %d (%.2f %%)' % (len(all_citations), 100.0) )\n", "\n", "outsider_citations_rel = 100. * len(outsider_citations) / len(all_citations)\n", "print('Citations from outside the subset: %d (%.2f %%)' % (len(outsider_citations), outsider_citations_rel) )" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let us now find which 10 papers are the most cited in our dataset." ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<strong>Human malaria parasites in continuous culture.</strong><br>1976. Trager W, Jensen JB<br>[ID: 781840]<br>Citation count: 1503" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<strong><a href=http://dx.doi.org/10.1016/S0140-6736(12)61728-0>Global and regional mortality from 235 causes of death for 20 age groups in 1990 and 2010: a systematic analysis for the Global Burden of Disease Study 2010.</a></strong><br>2012. Lozano R, Naghavi M, Foreman K, Lim S, Shibuya K, Aboyans V, Abraham J, Adair T, Aggarwal R, Ahn SY, Alvarado M, Anderson HR, Anderson LM, Andrews KG, Atkinson C, Baddour LM, Barker-Collo S, Bartels DH, Bell ML, Benjamin EJ, ...<br>[ID: 23245604]<br>Citation count: 1396" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<strong><a href=http://dx.doi.org/10.1101/gr.1224503>OrthoMCL: identification of ortholog groups for eukaryotic genomes.</a></strong><br>2003. Li L, Stoeckert CJ Jr, Roos DS<br>[ID: 12952885]<br>Citation count: 1187" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<strong><a href=http://dx.doi.org/10.1038/nature01097>Genome sequence of the human malaria parasite Plasmodium falciparum.</a></strong><br>2002. Gardner MJ, Hall N, Fung E, White O, Berriman M, Hyman RW, Carlton JM, Pain A, Nelson KE, Bowman S, Paulsen IT, James K, Eisen JA, Rutherford K, Salzberg SL, Craig A, Kyes S, Chan MS, Nene V, Shallom SJ, ...<br>[ID: 12368864]<br>Citation count: 1063" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<strong><a href=http://dx.doi.org/10.1038/nature01511>Mass spectrometry-based proteomics.</a></strong><br>2003. Aebersold R, Mann M<br>[ID: 12634793]<br>Citation count: 967" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<strong><a href=http://dx.doi.org/10.1016/S0140-6736(96)07492-2>Alternative projections of mortality and disability by cause 1990-2020: Global Burden of Disease Study.</a></strong><br>1997. Murray CJ, Lopez AD<br>[ID: 9167458]<br>Citation count: 934" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<strong><a href=http://dx.doi.org/10.1016/S0140-6736(06)68770-9>Global and regional burden of disease and risk factors, 2001: systematic analysis of population health data.</a></strong><br>2006. Lopez AD, Mathers CD, Ezzati M, Jamison DT, Murray CJ<br>[ID: 16731270]<br>Citation count: 918" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<strong><a href=http://dx.doi.org/10.1056/NEJMoa0808859>Artemisinin resistance in Plasmodium falciparum malaria.</a></strong><br>2009. Dondorp AM, Nosten F, Yi P, Das D, Phyo AP, Tarning J, Lwin KM, Ariey F, Hanpithakpong W, Lee SJ, Ringwald P, Silamut K, Imwong M, Chotivanich K, Lim P, Herdman T, An SS, Yeung S, Singhasivanon P, Day NP, ...<br>[ID: 19641202]<br>Citation count: 807" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<strong><a href=http://dx.doi.org/10.1038/nature03342>The global distribution of clinical episodes of Plasmodium falciparum malaria.</a></strong><br>2005. Snow RW, Guerra CA, Noor AM, Myint HY, Hay SI<br>[ID: 15759000]<br>Citation count: 776" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<strong>Synchronization of Plasmodium falciparum erythrocytic stages in culture.</strong><br>1979. Lambros C, Vanderberg JP<br>[ID: 383936]<br>Citation count: 775" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "citation_count_per_paper = [ (id, len(citations)) for (id,citations) in papers_citing.items() ]\n", "sorted_by_citation_count = sorted(citation_count_per_paper, key=lambda i:i[1], reverse=True)\n", "\n", "for (id, c) in sorted_by_citation_count[:10]:\n", " display_summary(id, extra_text = 'Citation count: ' + str(c))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Link Analysis for Search Engines" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In order to use the citation network, we need to be able to perform some complex graph algorithms on it. To make our lives easier, we will use [NetworkX](https://pypi.python.org/pypi/networkx), a Python package for dealing with complex networks. You might have to [install the NetworkX package](https://pypi.python.org/pypi/networkx) first." ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import networkx as nx\n", "\n", "G = nx.DiGraph(cited_by)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We now have a NetworkX Directed Graph stored in `G`, where a node represents a paper, and an edge represents a citation. This means we can now apply the [algorithms](http://networkx.github.io/documentation/networkx-1.10/reference/algorithms.html) and [functions](http://networkx.github.io/documentation/networkx-1.10/reference/functions.html) of NetworkX to our graph:" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Name: \n", "Type: DiGraph\n", "Number of nodes: 128104\n", "Number of edges: 552219\n", "Average in degree: 4.3107\n", "Average out degree: 4.3107\n", "Directed graph: True\n", "Density of graph: 3.365033205197717e-05\n" ] } ], "source": [ "print(nx.info(G))\n", "print('Directed graph:', nx.is_directed(G))\n", "print('Density of graph:', nx.density(G))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "As this graph was generated from citations only, we need to add all isolated nodes (nodes that are not cited and do not cite other papers) as well:" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [], "source": [ "G.add_nodes_from(isolated)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "And now we get slightly different values:" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Name: \n", "Type: DiGraph\n", "Number of nodes: 146869\n", "Number of edges: 552219\n", "Average in degree: 3.7599\n", "Average out degree: 3.7599\n", "Directed graph: True\n", "Density of graph: 2.560082886552999e-05\n" ] } ], "source": [ "print(nx.info(G))\n", "print('Directed graph:', nx.is_directed(G))\n", "print('Density of graph:', nx.density(G))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "----------" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Assignments" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Your name:** ..." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Task 1\n", "\n", "Plot the in-degree distribution (the distribution of the number of incoming links) for the citation network. What can you tell about the shape of this distribution, and what does this tell us about the network?" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Add your code here" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Answer:** [_Write your answer text here_]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Task 2\n", "\n", "Using the [Link Analysis](https://networkx.github.io/documentation/networkx-1.10/reference/algorithms.link_analysis.html) algorithms provided by NetworkX, calculate the PageRank score for each node in the citation network, and store them in a variable. Print out the PageRank values for the two example papers given below.\n", "You can also use the `pagerank_scipy` implementation, which tends to be considerably faster than its regular `pagerank` counterpart (but you have to install the [SciPy package](http://scipy.org/) for that). To print and compare PageRank values, you might want to use commands like `print('%.6f' % var)` to use regular decimal notation with a fixed number of decimal places." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Add your code here\n", "\n", "# print PageRank for paper 10399593\n", "# print PageRank for paper 23863622" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Task 3\n", "\n", "Why do the two papers above have such different PageRank values? Write code below to investigate and show the cause of this, and then explain the cause of this difference based on the results generated by your code." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Add your code here" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "**Answer:** [_Write your answer text here_]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Task 4\n", "\n", "Copy the scoring function `score_ntn` from Task 4 of mini-assignment 3. Rename it to `score_ntn_pagerank` and change its code to incorporate a paper's PageRank score in it's final score, in addition to tf-idf. In other words, the new function should return a single value that is calculated based on both scores (PageRank and tf-idf). Explain your decision on how to combine the two scores." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Add your code here" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "**Answer:** [_Write your answer text here_]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Task 5\n", "\n", "Copy the query function `query_ntn` from Task 4 of mini-assignment 3. Rename it to `query_ntn_pagerank` and change the code to use our new scoring function `score_ntn_pagerank` from task 4 above. Demonstrate these functions with an example query that returns our paper 10399593 from above as the top result." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Add your code here" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2+" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-2.0
shashank14/Asterix
1-Python Crash course/Python-Crash-Course/Python Crash Course Exercises .ipynb
1
12060
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Python Crash Course Exercises \n", "\n", "This is an optional exercise to test your understanding of Python Basics. If you find this extremely challenging, then you probably are not ready for the rest of this course yet and don't have enough programming experience to continue. I would suggest you take another course more geared towards complete beginners, such as [Complete Python Bootcamp](https://www.udemy.com/complete-python-bootcamp)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Exercises\n", "\n", "Answer the questions or complete the tasks outlined in bold below, use the specific method described if applicable." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** What is 7 to the power of 4?**" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "2401" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "7**4" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Split this string:**\n", "\n", " s = \"Hi there Sam!\"\n", " \n", "**into a list. **" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "s = \"Hi there Sam!\"" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['Hi', 'there', 'Sam!']" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "s.split()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Given the variables:**\n", "\n", " planet = \"Earth\"\n", " diameter = 12742\n", "\n", "** Use .format() to print the following string: **\n", "\n", " The diameter of Earth is 12742 kilometers." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "planet = \"Earth\"\n", "diameter = 12742" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "The diameter of Earth is 12742 kilometers.\n" ] } ], "source": [ "print(\"The diameter of {} is {} kilometers.\".format(planet,diameter))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Given this nested list, use indexing to grab the word \"hello\" **" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": true }, "outputs": [], "source": [ "lst = [1,2,[3,4],[5,[100,200,['hello']],23,11],1,7]" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['hello']" ] }, "execution_count": 29, "metadata": {}, "output_type": "execute_result" } ], "source": [ "lst[3][1][2]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Given this nested dictionary grab the word \"hello\". Be prepared, this will be annoying/tricky **" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [], "source": [ "d = {'k1':[1,2,3,{'tricky':['oh','man','inception',{'target':[1,2,3,'hello']}]}]}" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'hello'" ] }, "execution_count": 22, "metadata": {}, "output_type": "execute_result" } ], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** What is the main difference between a tuple and a list? **" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'domain.com'" ] }, "execution_count": 31, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Tuple is immutable\n", "na = \"[email protected]\"\n", "na.split(\"@\")[1]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Create a function that grabs the email website domain from a string in the form: **\n", "\n", " [email protected]\n", " \n", "**So for example, passing \"[email protected]\" would return: domain.com**" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def domainGet(name):\n", " return name.split(\"@\")[1]" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'domain.com'" ] }, "execution_count": 33, "metadata": {}, "output_type": "execute_result" } ], "source": [ "domainGet('[email protected]')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Create a basic function that returns True if the word 'dog' is contained in the input string. Don't worry about edge cases like a punctuation being attached to the word dog, but do account for capitalization. **" ] }, { "cell_type": "code", "execution_count": 60, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def findDog(sentence):\n", " x = sentence.split()\n", " for item in x:\n", " if item == \"dog\":\n", " return True\n", "\n", " \n", " " ] }, { "cell_type": "code", "execution_count": 66, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "True" ] }, "execution_count": 66, "metadata": {}, "output_type": "execute_result" } ], "source": [ "findDog('Is there a dog here?')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Create a function that counts the number of times the word \"dog\" occurs in a string. Again ignore edge cases. **" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "2" ] }, "execution_count": 31, "metadata": {}, "output_type": "execute_result" } ], "source": [ "countDog('This dog runs faster than the other dog dude!')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Use lambda expressions and the filter() function to filter out words from a list that don't start with the letter 's'. For example:**\n", "\n", " seq = ['soup','dog','salad','cat','great']\n", "\n", "**should be filtered down to:**\n", "\n", " ['soup','salad']" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": true }, "outputs": [], "source": [ "seq = ['soup','dog','salad','cat','great']" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['soup', 'salad']" ] }, "execution_count": 35, "metadata": {}, "output_type": "execute_result" } ], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Final Problem\n", "**You are driving a little too fast, and a police officer stops you. Write a function\n", " to return one of 3 possible results: \"No ticket\", \"Small ticket\", or \"Big Ticket\". \n", " If your speed is 60 or less, the result is \"No Ticket\". If speed is between 61 \n", " and 80 inclusive, the result is \"Small Ticket\". If speed is 81 or more, the result is \"Big Ticket\". Unless it is your birthday (encoded as a boolean value in the parameters of the function) -- on your birthday, your speed can be 5 higher in all \n", " cases. **" ] }, { "cell_type": "code", "execution_count": 84, "metadata": { "collapsed": false }, "outputs": [ { "ename": "IndentationError", "evalue": "expected an indented block (<ipython-input-84-16b39d9d237b>, line 3)", "output_type": "error", "traceback": [ "\u001b[0;36m File \u001b[0;32m\"<ipython-input-84-16b39d9d237b>\"\u001b[0;36m, line \u001b[0;32m3\u001b[0m\n\u001b[0;31m if speed <= 60:\u001b[0m\n\u001b[0m ^\u001b[0m\n\u001b[0;31mIndentationError\u001b[0m\u001b[0;31m:\u001b[0m expected an indented block\n" ] } ], "source": [ "def caught_speeding(speed, is_birthday):\n", " if s_birthday == False:\n", " if speed <= 60: \n", " return \"No ticket\"\n", " elif speed >= 61 and speed <=80:\n", " return \"small ticket\"\n", " elif speed >81:\n", " return \"Big ticket\"\n", " else:\n", " return \"pass\"" ] }, { "cell_type": "code", "execution_count": 81, "metadata": { "collapsed": false }, "outputs": [], "source": [ "caught_speeding(81,False)" ] }, { "cell_type": "code", "execution_count": 78, "metadata": { "collapsed": false }, "outputs": [], "source": [ "caught_speeding(81,False)" ] }, { "cell_type": "code", "execution_count": 90, "metadata": { "collapsed": false }, "outputs": [], "source": [ "lst = [\"7:00\",\"7:30\"]\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Great job!" ] }, { "cell_type": "code", "execution_count": 91, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['7:00', '7:30']" ] }, "execution_count": 91, "metadata": {}, "output_type": "execute_result" } ], "source": [ "lst" ] }, { "cell_type": "code", "execution_count": 92, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "list" ] }, "execution_count": 92, "metadata": {}, "output_type": "execute_result" } ], "source": [ "type(lst)" ] }, { "cell_type": "code", "execution_count": 95, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "str" ] }, "execution_count": 95, "metadata": {}, "output_type": "execute_result" } ], "source": [ "type(lst[1])\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
chusine/dlnd
autoencoder/Convolutional_Autoencoder.ipynb
1
89430
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Convolutional Autoencoder\n", "\n", "Sticking with the MNIST dataset, let's improve our autoencoder's performance using convolutional layers. Again, loading modules and the data." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "%matplotlib inline\n", "\n", "import numpy as np\n", "import tensorflow as tf\n", "import matplotlib.pyplot as plt" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Extracting MNIST_data\\train-images-idx3-ubyte.gz\n", "Extracting MNIST_data\\train-labels-idx1-ubyte.gz\n", "Extracting MNIST_data\\t10k-images-idx3-ubyte.gz\n", "Extracting MNIST_data\\t10k-labels-idx1-ubyte.gz\n" ] } ], "source": [ "from tensorflow.examples.tutorials.mnist import input_data\n", "mnist = input_data.read_data_sets('MNIST_data', validation_size=0)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.image.AxesImage at 0x21462f13c18>" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAP8AAAD8CAYAAAC4nHJkAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x21462d1e748>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "img = mnist.train.images[2]\n", "plt.imshow(img.reshape((28, 28)), cmap='Greys_r')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Network Architecture\n", "\n", "The encoder part of the network will be a typical convolutional pyramid. Each convolutional layer will be followed by a max-pooling layer to reduce the dimensions of the layers. The decoder though might be something new to you. The decoder needs to convert from a narrow representation to a wide reconstructed image. For example, the representation could be a 4x4x8 max-pool layer. This is the output of the encoder, but also the input to the decoder. We want to get a 28x28x1 image out from the decoder so we need to work our way back up from the narrow decoder input layer. A schematic of the network is shown below.\n", "\n", "![Convolutional Autoencoder](assets/convolutional_autoencoder.png)\n", "\n", "Here our final encoder layer has size 4x4x8 = 128. The original images have size 28x28 = 784, so the encoded vector is roughly 16% the size of the original image. These are just suggested sizes for each of the layers. Feel free to change the depths and sizes, but remember our goal here is to find a small representation of the input data.\n", "\n", "### What's going on with the decoder\n", "\n", "Okay, so the decoder has these \"Upsample\" layers that you might not have seen before. First off, I'll discuss a bit what these layers *aren't*. Usually, you'll see **deconvolutional** layers used to increase the width and height of the layers. They work almost exactly the same as convolutional layers, but it reverse. A stride in the input layer results in a larger stride in the deconvolutional layer. For example, if you have a 3x3 kernel, a 3x3 patch in the input layer will be reduced to one unit in a convolutional layer. Comparatively, one unit in the input layer will be expanded to a 3x3 path in a deconvolutional layer. Deconvolution is often called \"transpose convolution\" which is what you'll find with the TensorFlow API, with [`tf.nn.conv2d_transpose`](https://www.tensorflow.org/api_docs/python/tf/nn/conv2d_transpose). \n", "\n", "However, deconvolutional layers can lead to artifacts in the final images, such as checkerboard patterns. This is due to overlap in the kernels which can be avoided by setting the stride and kernel size equal. In [this Distill article](http://distill.pub/2016/deconv-checkerboard/) from Augustus Odena, *et al*, the authors show that these checkerboard artifacts can be avoided by resizing the layers using nearest neighbor or bilinear interpolation (upsampling) followed by a convolutional layer. In TensorFlow, this is easily done with [`tf.image.resize_images`](https://www.tensorflow.org/versions/r1.1/api_docs/python/tf/image/resize_images), followed by a convolution. Be sure to read the Distill article to get a better understanding of deconvolutional layers and why we're using upsampling.\n", "\n", "> **Exercise:** Build the network shown above. Remember that a convolutional layer with strides of 1 and 'same' padding won't reduce the height and width. That is, if the input is 28x28 and the convolution layer has stride = 1 and 'same' padding, the convolutional layer will also be 28x28. The max-pool layers are used the reduce the width and height. A stride of 2 will reduce the size by 2. Odena *et al* claim that nearest neighbor interpolation works best for the upsampling, so make sure to include that as a parameter in `tf.image.resize_images` or use [`tf.image.resize_nearest_neighbor`]( `https://www.tensorflow.org/api_docs/python/tf/image/resize_nearest_neighbor)." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "learning_rate = 0.001\n", "inputs_ = tf.placeholder(tf.float32, shape = (None, 28, 28, 1), name = 'inputs')\n", "targets_ = tf.placeholder(tf.float32, shape = (None, 28, 28, 1), name = 'targets')\n", "\n", "### Encoder\n", "conv1 = tf.layers.conv2d(inputs_, 16, (3, 3), padding = 'same', activation = tf.nn.relu)\n", "# Now 28x28x16\n", "maxpool1 = tf.layers.max_pooling2d(conv1, (2, 2), (2, 2), padding = 'same')\n", "# Now 14x14x16\n", "conv2 = tf.layers.conv2d(maxpool1, 8, (3, 3), padding = 'same', activation = tf.nn.relu)\n", "# Now 14x14x8\n", "maxpool2 = tf.layers.max_pooling2d(conv2, (2, 2), (2, 2), padding = 'same')\n", "# Now 7x7x8\n", "conv3 = tf.layers.conv2d(maxpool1, 8, (3, 3), padding = 'same', activation = tf.nn.relu)\n", "# Now 7x7x8\n", "encoded = tf.layers.max_pooling2d(conv3, (2, 2), (2, 2), padding = 'same')\n", "# Now 4x4x8\n", "\n", "### Decoder\n", "upsample1 = tf.image.resize_nearest_neighbor(encoded, (7, 7))\n", "# Now 7x7x8\n", "conv4 = tf.layers.conv2d(upsample1, 8, (3, 3), padding = 'same', activation = tf.nn.relu)\n", "# Now 7x7x8\n", "upsample2 = tf.image.resize_nearest_neighbor(conv4, (14, 14))\n", "# Now 14x14x8\n", "conv5 = tf.layers.conv2d(upsample2, 8, (3, 3), padding = 'same', activation = tf.nn.relu)\n", "# Now 14x14x8\n", "upsample3 = tf.image.resize_nearest_neighbor(conv5, (28, 28))\n", "# Now 28x28x8\n", "conv6 = tf.layers.conv2d(upsample3, 16, (3, 3), padding = 'same', activation = tf.nn.relu)\n", "# Now 28x28x16\n", "\n", "logits = tf.layers.conv2d(conv6, 1, (3, 3), padding = 'same', activation = None)\n", "#Now 28x28x1\n", "\n", "# Pass logits through sigmoid to get reconstructed image\n", "decoded = tf.nn.sigmoid(logits, name = 'decoded')\n", "\n", "# Pass logits through sigmoid and calculate the cross-entropy loss\n", "loss = tf.nn.sigmoid_cross_entropy_with_logits(labels = targets_, logits = logits)\n", "\n", "# Get cost and define the optimizer\n", "cost = tf.reduce_mean(loss)\n", "opt = tf.train.AdamOptimizer(learning_rate).minimize(cost)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Training\n", "\n", "As before, here wi'll train the network. Instead of flattening the images though, we can pass them in as 28x28x1 arrays." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "sess = tf.Session()" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Epoch: 1/20... Training loss: 0.6963\n", "Epoch: 1/20... Training loss: 0.1462\n", "Epoch: 1/20... Training loss: 0.1049\n", "Epoch: 2/20... Training loss: 0.0961\n", "Epoch: 2/20... Training loss: 0.0897\n", "Epoch: 2/20... Training loss: 0.0903\n", "Epoch: 3/20... Training loss: 0.0841\n", "Epoch: 3/20... Training loss: 0.0828\n", "Epoch: 3/20... Training loss: 0.0836\n", "Epoch: 4/20... Training loss: 0.0818\n", "Epoch: 4/20... Training loss: 0.0804\n", "Epoch: 4/20... Training loss: 0.0794\n", "Epoch: 5/20... Training loss: 0.0768\n", "Epoch: 5/20... Training loss: 0.0757\n", "Epoch: 5/20... Training loss: 0.0781\n", "Epoch: 6/20... Training loss: 0.0781\n", "Epoch: 6/20... Training loss: 0.0810\n", "Epoch: 6/20... Training loss: 0.0761\n", "Epoch: 7/20... Training loss: 0.0749\n", "Epoch: 7/20... Training loss: 0.0737\n", "Epoch: 7/20... Training loss: 0.0763\n", "Epoch: 8/20... Training loss: 0.0767\n", "Epoch: 8/20... Training loss: 0.0767\n", "Epoch: 8/20... Training loss: 0.0758\n", "Epoch: 9/20... Training loss: 0.0730\n", "Epoch: 9/20... Training loss: 0.0769\n", "Epoch: 9/20... Training loss: 0.0732\n", "Epoch: 10/20... Training loss: 0.0722\n", "Epoch: 10/20... Training loss: 0.0732\n", "Epoch: 10/20... Training loss: 0.0715\n", "Epoch: 11/20... Training loss: 0.0726\n", "Epoch: 11/20... Training loss: 0.0740\n", "Epoch: 11/20... Training loss: 0.0738\n", "Epoch: 12/20... Training loss: 0.0730\n", "Epoch: 12/20... Training loss: 0.0703\n", "Epoch: 12/20... Training loss: 0.0728\n", "Epoch: 13/20... Training loss: 0.0733\n", "Epoch: 13/20... Training loss: 0.0741\n", "Epoch: 13/20... Training loss: 0.0726\n", "Epoch: 14/20... Training loss: 0.0735\n", "Epoch: 14/20... Training loss: 0.0718\n", "Epoch: 14/20... Training loss: 0.0732\n", "Epoch: 15/20... Training loss: 0.0736\n", "Epoch: 15/20... Training loss: 0.0729\n", "Epoch: 15/20... Training loss: 0.0729\n", "Epoch: 16/20... Training loss: 0.0725\n", "Epoch: 16/20... Training loss: 0.0734\n", "Epoch: 16/20... Training loss: 0.0682\n", "Epoch: 17/20... Training loss: 0.0736\n", "Epoch: 17/20... Training loss: 0.0717\n", "Epoch: 17/20... Training loss: 0.0696\n", "Epoch: 18/20... Training loss: 0.0719\n", "Epoch: 18/20... Training loss: 0.0718\n", "Epoch: 18/20... Training loss: 0.0704\n", "Epoch: 19/20... Training loss: 0.0711\n", "Epoch: 19/20... Training loss: 0.0698\n", "Epoch: 19/20... Training loss: 0.0706\n", "Epoch: 20/20... Training loss: 0.0707\n", "Epoch: 20/20... Training loss: 0.0725\n", "Epoch: 20/20... Training loss: 0.0708\n" ] } ], "source": [ "epochs = 20\n", "batch_size = 200\n", "sess.run(tf.global_variables_initializer())\n", "for e in range(epochs):\n", " for ii in range(mnist.train.num_examples//batch_size):\n", " batch = mnist.train.next_batch(batch_size)\n", " imgs = batch[0].reshape((-1, 28, 28, 1))\n", " batch_cost, _ = sess.run([cost, opt], feed_dict={inputs_: imgs,\n", " targets_: imgs})\n", "\n", " if ii % 100 == 0:\n", " print(\"Epoch: {}/{}...\".format(e+1, epochs),\n", " \"Training loss: {:.4f}\".format(batch_cost))" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "ename": "RuntimeError", "evalue": "Attempted to use a closed Session.", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mRuntimeError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-12-5445191aed9c>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[0mfig\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0maxes\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mplt\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msubplots\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mnrows\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;36m2\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mncols\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;36m10\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0msharex\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mTrue\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0msharey\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mTrue\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mfigsize\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m20\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;36m4\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 2\u001b[0m \u001b[0min_imgs\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mmnist\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mtest\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mimages\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;36m10\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m----> 3\u001b[0;31m \u001b[0mreconstructed\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0msess\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mrun\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdecoded\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mfeed_dict\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;33m{\u001b[0m\u001b[0minputs_\u001b[0m\u001b[1;33m:\u001b[0m \u001b[0min_imgs\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mreshape\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m10\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m28\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m28\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m1\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m}\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 4\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 5\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0mimages\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mrow\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mzip\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0min_imgs\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mreconstructed\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0maxes\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[0;32mC:\\Users\\chusi\\Anaconda3\\envs\\tensorflow\\lib\\site-packages\\tensorflow\\python\\client\\session.py\u001b[0m in \u001b[0;36mrun\u001b[0;34m(self, fetches, feed_dict, options, run_metadata)\u001b[0m\n\u001b[1;32m 765\u001b[0m \u001b[1;32mtry\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 766\u001b[0m result = self._run(None, fetches, feed_dict, options_ptr,\n\u001b[0;32m--> 767\u001b[0;31m run_metadata_ptr)\n\u001b[0m\u001b[1;32m 768\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mrun_metadata\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 769\u001b[0m \u001b[0mproto_data\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mtf_session\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mTF_GetBuffer\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mrun_metadata_ptr\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[0;32mC:\\Users\\chusi\\Anaconda3\\envs\\tensorflow\\lib\\site-packages\\tensorflow\\python\\client\\session.py\u001b[0m in \u001b[0;36m_run\u001b[0;34m(self, handle, fetches, feed_dict, options, run_metadata)\u001b[0m\n\u001b[1;32m 901\u001b[0m \u001b[1;31m# Check session.\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 902\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_closed\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m--> 903\u001b[0;31m \u001b[1;32mraise\u001b[0m \u001b[0mRuntimeError\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m'Attempted to use a closed Session.'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 904\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mgraph\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mversion\u001b[0m \u001b[1;33m==\u001b[0m \u001b[1;36m0\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 905\u001b[0m raise RuntimeError('The Session graph is empty. Add operations to the '\n", "\u001b[0;31mRuntimeError\u001b[0m: Attempted to use a closed Session." ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABI8AAAD8CAYAAAD+DIR+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ntO+EXa/8AAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x2140067b5c0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, axes = plt.subplots(nrows=2, ncols=10, sharex=True, sharey=True, figsize=(20,4))\n", "in_imgs = mnist.test.images[:10]\n", "reconstructed = sess.run(decoded, feed_dict={inputs_: in_imgs.reshape((10, 28, 28, 1))})\n", "\n", "for images, row in zip([in_imgs, reconstructed], axes):\n", " for img, ax in zip(images, row):\n", " ax.imshow(img.reshape((28, 28)), cmap='Greys_r')\n", " ax.get_xaxis().set_visible(False)\n", " ax.get_yaxis().set_visible(False)\n", "\n", "\n", "fig.tight_layout(pad=0.1)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "sess.close()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Denoising\n", "\n", "As I've mentioned before, autoencoders like the ones you've built so far aren't too useful in practive. However, they can be used to denoise images quite successfully just by training the network on noisy images. We can create the noisy images ourselves by adding Gaussian noise to the training images, then clipping the values to be between 0 and 1. We'll use noisy images as input and the original, clean images as targets. Here's an example of the noisy images I generated and the denoised images.\n", "\n", "![Denoising autoencoder](assets/denoising.png)\n", "\n", "\n", "Since this is a harder problem for the network, we'll want to use deeper convolutional layers here, more feature maps. I suggest something like 32-32-16 for the depths of the convolutional layers in the encoder, and the same depths going backward through the decoder. Otherwise the architecture is the same as before.\n", "\n", "> **Exercise:** Build the network for the denoising autoencoder. It's the same as before, but with deeper layers. I suggest 32-32-16 for the depths, but you can play with these numbers, or add more layers." ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [], "source": [ "learning_rate = 0.001\n", "inputs_ = tf.placeholder(tf.float32, (None, 28, 28, 1), name='inputs')\n", "targets_ = tf.placeholder(tf.float32, (None, 28, 28, 1), name='targets')\n", "\n", "### Encoder\n", "conv1 = tf.layers.conv2d(inputs_, 32, (3, 3), padding = 'same', activation = tf.nn.relu)\n", "# Now 28x28x32\n", "maxpool1 = tf.layers.max_pooling2d(conv1, (2, 2), (2, 2), padding = 'same')\n", "# Now 14x14x32\n", "conv2 = tf.layers.conv2d(maxpool1, 32, (3, 3), padding = 'same', activation = tf.nn.relu)\n", "# Now 14x14x32\n", "maxpool2 = tf.layers.max_pooling2d(conv2, (2, 2), (2, 2), padding = 'same')\n", "# Now 7x7x32\n", "conv3 = tf.layers.conv2d(maxpool2, 16, (3, 3), padding = 'same', activation = tf.nn.relu)\n", "# Now 7x7x16\n", "encoded = tf.layers.max_pooling2d(conv3, (2, 2), (2, 2), padding = 'same')\n", "# Now 4x4x16\n", "\n", "### Decoder\n", "upsample1 = tf.image.resize_nearest_neighbor(encoded, (7, 7))\n", "# Now 7x7x16\n", "conv4 = tf.layers.conv2d(upsample1, 16, (3, 3), padding = 'same', activation = tf.nn.relu)\n", "# Now 7x7x16\n", "upsample2 = tf.image.resize_nearest_neighbor(conv4, (14, 14))\n", "# Now 14x14x16\n", "conv5 = tf.layers.conv2d(upsample2, 32, (3, 3), padding = 'same', activation = tf.nn.relu)\n", "# Now 14x14x32\n", "upsample3 = tf.image.resize_nearest_neighbor(conv5, (28, 28))\n", "# Now 28x28x32\n", "conv6 = tf.layers.conv2d(upsample3, 32, (3, 3), padding = 'same', activation = tf.nn.relu)\n", "# Now 28x28x32\n", "\n", "logits = tf.layers.conv2d(conv6, 1, (3, 3), padding = 'same', activation = None)\n", "#Now 28x28x1\n", "\n", "# Pass logits through sigmoid to get reconstructed image\n", "decoded = tf.nn.sigmoid(logits, name = 'decoded')\n", "\n", "# Pass logits through sigmoid and calculate the cross-entropy loss\n", "loss = tf.nn.sigmoid_cross_entropy_with_logits(labels = targets_, logits = logits)\n", "\n", "# Get cost and define the optimizer\n", "cost = tf.reduce_mean(loss)\n", "opt = tf.train.AdamOptimizer(learning_rate).minimize(cost)" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": true }, "outputs": [], "source": [ "sess = tf.Session()" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Epoch: 1/10... Training loss: 0.7020\n", "Epoch: 1/10... Training loss: 0.2077\n", "Epoch: 1/10... Training loss: 0.1816\n", "Epoch: 2/10... Training loss: 0.1667\n", "Epoch: 2/10... Training loss: 0.1518\n", "Epoch: 2/10... Training loss: 0.1492\n", "Epoch: 3/10... Training loss: 0.1452\n", "Epoch: 3/10... Training loss: 0.1335\n", "Epoch: 3/10... Training loss: 0.1361\n", "Epoch: 4/10... Training loss: 0.1408\n", "Epoch: 4/10... Training loss: 0.1314\n", "Epoch: 4/10... Training loss: 0.1270\n", "Epoch: 5/10... Training loss: 0.1261\n", "Epoch: 5/10... Training loss: 0.1244\n", "Epoch: 5/10... Training loss: 0.1219\n", "Epoch: 6/10... Training loss: 0.1278\n", "Epoch: 6/10... Training loss: 0.1190\n", "Epoch: 6/10... Training loss: 0.1209\n", "Epoch: 7/10... Training loss: 0.1216\n", "Epoch: 7/10... Training loss: 0.1242\n", "Epoch: 7/10... Training loss: 0.1226\n", "Epoch: 8/10... Training loss: 0.1162\n", "Epoch: 8/10... Training loss: 0.1182\n", "Epoch: 8/10... Training loss: 0.1157\n", "Epoch: 9/10... Training loss: 0.1150\n", "Epoch: 9/10... Training loss: 0.1210\n", "Epoch: 9/10... Training loss: 0.1156\n", "Epoch: 10/10... Training loss: 0.1121\n", "Epoch: 10/10... Training loss: 0.1164\n", "Epoch: 10/10... Training loss: 0.1154\n" ] } ], "source": [ "epochs = 10\n", "batch_size = 200\n", "# Set's how much noise we're adding to the MNIST images\n", "noise_factor = 0.5\n", "sess.run(tf.global_variables_initializer())\n", "for e in range(epochs):\n", " for ii in range(mnist.train.num_examples//batch_size):\n", " batch = mnist.train.next_batch(batch_size)\n", " # Get images from the batch\n", " imgs = batch[0].reshape((-1, 28, 28, 1))\n", " \n", " # Add random noise to the input images\n", " noisy_imgs = imgs + noise_factor * np.random.randn(*imgs.shape)\n", " # Clip the images to be between 0 and 1\n", " noisy_imgs = np.clip(noisy_imgs, 0., 1.)\n", " \n", " # Noisy images as inputs, original images as targets\n", " batch_cost, _ = sess.run([cost, opt], feed_dict={inputs_: noisy_imgs,\n", " targets_: imgs})\n", "\n", " if ii % 100 == 0:\n", " print(\"Epoch: {}/{}...\".format(e+1, epochs),\n", " \"Training loss: {:.4f}\".format(batch_cost))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Checking out the performance\n", "\n", "Here I'm adding noise to the test images and passing them through the autoencoder. It does a suprisingly great job of removing the noise, even though it's sometimes difficult to tell what the original number is." ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABawAAAEsCAYAAAAvofT2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAAAAAApML/A5q8BneOwIfbAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x21401420470>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, axes = plt.subplots(nrows=2, ncols=10, sharex=True, sharey=True, figsize=(20,4))\n", "in_imgs = mnist.test.images[:10]\n", "noisy_imgs = in_imgs + noise_factor * np.random.randn(*in_imgs.shape)\n", "noisy_imgs = np.clip(noisy_imgs, 0., 1.)\n", "\n", "reconstructed = sess.run(decoded, feed_dict={inputs_: noisy_imgs.reshape((10, 28, 28, 1))})\n", "\n", "for images, row in zip([noisy_imgs, reconstructed], axes):\n", " for img, ax in zip(images, row):\n", " ax.imshow(img.reshape((28, 28)), cmap='Greys_r')\n", " ax.get_xaxis().set_visible(False)\n", " ax.get_yaxis().set_visible(False)\n", "\n", "fig.tight_layout(pad=0.1)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.3" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
OSGeoLabBp/tutorials
english/data_processing/lessons/ml_clustering.ipynb
1
184051
{ "nbformat": 4, "nbformat_minor": 0, "metadata": { "colab": { "name": "ml_clustering.ipynb", "provenance": [], "collapsed_sections": [], "authorship_tag": "ABX9TyP1OxpHVNY5kooCRkzf55Us", "include_colab_link": true }, "kernelspec": { "name": "python3", "display_name": "Python 3" }, "language_info": { "name": "python" } }, "cells": [ { "cell_type": "markdown", "metadata": { "id": "view-in-github", "colab_type": "text" }, "source": [ "<a href=\"https://colab.research.google.com/github/OSGeoLabBp/tutorials/blob/master/english/data_processing/lessons/ml_clustering.ipynb\" target=\"_parent\"><img src=\"https://colab.research.google.com/assets/colab-badge.svg\" alt=\"Open In Colab\"/></a>" ] }, { "cell_type": "markdown", "source": [ "#**Clustering with Machine Learning**\n", "\n", "# What is Machine Learning? \n", "Nowadays Machine Learning algorithms are widely used. This technology is behind chatbots, language translation apps, the shows [Netflix](https://research.netflix.com/research-area/machine-learning-platform) suggest to you and how your social media feeds are presented. This is the basis of the idea of autonomous vehicles and machines too.\n", "\n", "Machine Learning (ML) is a subfield of Artificial Intelligence (AI). The basic idea of the ML is to teach computers to 'learn' information directly from data with computational methods. \n", "\n", "There are three subcategories of Machine Learning:\n", "\n", "![](https://www.wordstream.com/wp-content/uploads/2021/07/machine-learning1-1.png)\n", "\n", "\n", "In the following we are going to focus on an unsupervised learning method, within that clustering methods.\n", "\n" ], "metadata": { "id": "QGamVAa3OPMG" } }, { "cell_type": "markdown", "source": [ "# **Clustering** \n", "\n", "Clustering or cluster analysis is an unsupervised learning problem. There are many types of clustering algorithm. Most of these use similarity or distance measures between points.\n", "Some of the clustering algorithms require to specify or guess at the number of clusters to discover in the data, whereas others require the specification of some minimum distance between observations in which examples may be considered “close” or “connected.”\n", "\n", "Cluster analysis is an iterative process where subjective evaluation of the identified clusters is fed back into changes to algorithm configuration until a desired or appropriate result is achieved.\n", "\n", "**There are several clustering algorithm to choose from:** \n", "- Affinity Propagation\n", "- Agglomerative Clustering\n", "- BIRCH\n", "- DBSCAN\n", "- K-Means\n", "- Mini-Batch K-Means\n", "- Mean Shift\n", "- OPTICS\n", "- Spectral Clustering\n", "- Mixture of Gaussians\n", "- etc...\n", "\n", "In the following we are going to check on some of these with the help of **[scikit-learn](https://scikit-learn.org/stable/)** library. \n", "\n", "Scikit-learn is an open source machine learning library that supports supervised and unsupervised learning. It also provides various tools for model fitting, data preprocessing, model selection, model evaluation, and many other utilities." ], "metadata": { "id": "aUhuFwYEdRJQ" } }, { "cell_type": "markdown", "source": [ "**Let's import the modules!**" ], "metadata": { "id": "5Gn1yiLPY1hT" } }, { "cell_type": "code", "execution_count": 1, "metadata": { "id": "rgWC008_OHzR" }, "outputs": [], "source": [ "# modules\n", "import sklearn\n", "from numpy import where\n", "from sklearn.datasets import make_classification\n", "from matplotlib import pyplot\n" ] }, { "cell_type": "markdown", "source": [ "To test different clustering methods we need a sample data. In the **scikit-learining** module there are built-in functions to create it. We will use *make_classification()* to create a dataset of 1000 points with 2 clusters. " ], "metadata": { "id": "DR44PczIZYNM" } }, { "cell_type": "code", "source": [ "# define dataset\n", "X, y = make_classification(n_samples=1000, n_features=2, n_informative=2, n_redundant=0, n_clusters_per_class=1, random_state=4)\n", "\n", "# create scatter plot for samples from each class\n", "for class_value in range(2):\n", "\t# get row indexes for samples with this class\n", "\trow_ix = where(y == class_value)\n", "\t# create scatter of these samples\n", "\tpyplot.scatter(X[row_ix, 0], X[row_ix, 1]) \n", "# show the plot\n", "pyplot.title('The generated dataset')\n", "pyplot.xlabel('x')\n", "pyplot.ylabel('y')\n", "pyplot.show()" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 295 }, "id": "1Ju7zENPZVBt", "outputId": "d1ad554a-f62d-4381-bd11-9fba5a114e90" }, "execution_count": null, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "<Figure size 432x288 with 1 Axes>" ], "image/png": "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\n" }, "metadata": { "needs_background": "light" } } ] }, { "cell_type": "markdown", "source": [ "Now let's apply the different clustering algorithms on the dataset!" ], "metadata": { "id": "wAEIlUVqbkrR" } }, { "cell_type": "markdown", "source": [ "##[Affinity propagation](https://www.science.org/doi/10.1126/science.1136800)\n", "\n", "The method takes as input measures of similarity between pairs of data points. Real-valued messages are exchanged between data points until a high-quality set of exemplars and corresponding clusters gradually emerges." ], "metadata": { "id": "VVAE8k5Lbq76" } }, { "cell_type": "code", "source": [ "from sklearn.cluster import AffinityPropagation\n", "from numpy import unique\n", "\n", "# define the model\n", "model = AffinityPropagation(damping=0.9)\n", "# fit the model\n", "model.fit(X)\n", "# assign a cluster to each example\n", "yhat = model.predict(X)\n", "# retrieve unique clusters\n", "clusters = unique(yhat)\n", "# create scatter plot for samples from each cluster\n", "for cluster in clusters:\n", "\t# get row indexes for samples with this cluster\n", "\trow_ix = where(yhat == cluster)\n", "\t# create scatter of these samples\n", "\tpyplot.scatter(X[row_ix, 0], X[row_ix, 1])\n", "# show the plot\n", "pyplot.title('Affinity propagation clustering')\n", "pyplot.xlabel('x')\n", "pyplot.ylabel('y')\n", "pyplot.show()\n" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 295 }, "id": "8N_2UzjZca3a", "outputId": "3cdda62f-6e6f-4342-fbd1-fb2647160f03" }, "execution_count": null, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "<Figure size 432x288 with 1 Axes>" ], "image/png": "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\n" }, "metadata": { "needs_background": "light" } } ] }, { "cell_type": "markdown", "source": [ "##[Agglomerative clustering](https://scikit-learn.org/stable/modules/generated/sklearn.cluster.AgglomerativeClustering.html)\n", "\n", "It is type of [hierarchical clustering](https://en.wikipedia.org/wiki/Hierarchical_clustering), which is a general family of clustering algorithms that build nested clusters by merging or splitting them successively. This hierarchy of clusters is represented as a tree. The root of the tree is the unique cluster that gathers all the samples, the leaves being the clusters with only one sample.\n", "\n", "\n", "*Agglomerative clustering* performs\n", "using a bottom up approach: each observation starts in its own cluster, and clusters are successively merged together. The merging continues until the desired number of clusters is achieved.\n", "\n", "The merge strategy contains the following steps:\n", "- minimizes the sum of squared differences within all clusters\n", "- minimizes the maximum distance between observations of pairs of clusters\n", "- minimizes the average of the distances between all observations of pairs of clusters\n", "- minimizes the distance between the closest observations of pairs of clusters\n", "\n", "To use *agglomerative clustering* the *number of clusters* have to be defined.\n" ], "metadata": { "id": "YsKQShQ9gIg8" } }, { "cell_type": "code", "source": [ "from sklearn.cluster import AgglomerativeClustering\n", "\n", "# define the model\n", "model = AgglomerativeClustering(n_clusters=2)\n", "# fit model and predict clusters\n", "yhat = model.fit_predict(X)\n", "# retrieve unique clusters\n", "clusters = unique(yhat)\n", "# create scatter plot for samples from each cluster\n", "for cluster in clusters:\n", "\t# get row indexes for samples with this cluster\n", "\trow_ix = where(yhat == cluster)\n", "\t# create scatter of these samples\n", "\tpyplot.scatter(X[row_ix, 0], X[row_ix, 1])\n", "# show the plot\n", "pyplot.title('Agglomerative clustering')\n", "pyplot.xlabel('x')\n", "pyplot.ylabel('y')\n", "pyplot.show()" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 295 }, "id": "I_3yBj9skA4O", "outputId": "943addf3-639f-42c9-ef9b-d4fd4fcd9e4f" }, "execution_count": null, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "<Figure size 432x288 with 1 Axes>" ], "image/png": "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\n" }, "metadata": { "needs_background": "light" } } ] }, { "cell_type": "markdown", "source": [ "##[BIRCH](https://dl.acm.org/doi/10.1145/235968.233324)\n", "\n", "BIRCH clustering (Balanced Iterative Reducing and Clustering using\n", "Hierarchies) involves constructing a tree structure from which cluster centroids are extracted.\n", "\n", "\n", "BRICH incrementally and dynamically clusters incoming multi-dimensional metric data points to try to produce the best quality clustering with the available resources. This is the first clustering algorothm that handle noise effectively. It is also effective on \n", "large datasets like point clouds.\n", "\n", "To use this method the *threshold* and *number of clusters* values have to be deifned." ], "metadata": { "id": "2eFabdiukWH7" } }, { "cell_type": "code", "source": [ "from sklearn.cluster import Birch\n", "\n", "model = Birch(threshold=0.01, n_clusters=2)\n", "# fit the model\n", "model.fit(X)\n", "# assign a cluster to each example\n", "yhat = model.predict(X)\n", "# retrieve unique clusters\n", "clusters = unique(yhat)\n", "# create scatter plot for samples from each cluster\n", "for cluster in clusters:\n", "\t# get row indexes for samples with this cluster\n", "\trow_ix = where(yhat == cluster)\n", "\t# create scatter of these samples\n", "\tpyplot.scatter(X[row_ix, 0], X[row_ix, 1])\n", "# show the plot\n", "pyplot.title('BRICH clustering')\n", "pyplot.xlabel('x')\n", "pyplot.ylabel('y')\n", "pyplot.show()" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 295 }, "id": "W9g-Gs2vkxkr", "outputId": "93b79d8c-8b4f-4280-c18c-94853e7b0334" }, "execution_count": null, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "<Figure size 432x288 with 1 Axes>" ], "image/png": "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\n" }, "metadata": { "needs_background": "light" } } ] }, { "cell_type": "markdown", "source": [ "##[DBSCAN](https://www.osti.gov/biblio/421283)\n", "\n", "DBSCAN clustering (Density-Based Spatial Clustering of Applications with Noise) involves finding high-density areas in the domain and expanding those areas of the feature space around them as clusters.\n", "\n", "\n", "It can be used on large databases with good efficiency. The usage of the DBSCAN is not complicated, it requires only one parameter. The number of clusters are determined by the algorithm.\n" ], "metadata": { "id": "MNLalp8alSPh" } }, { "cell_type": "code", "source": [ "from sklearn.cluster import DBSCAN\n", "from matplotlib import pyplot\n", "\n", "# define the model\n", "model = DBSCAN(eps=0.30, min_samples=9)\n", "# fit model and predict clusters\n", "yhat = model.fit_predict(X)\n", "# retrieve unique clusters\n", "clusters = unique(yhat)\n", "# create scatter plot for samples from each cluster\n", "for cluster in clusters:\n", "\t# get row indexes for samples with this cluster\n", "\trow_ix = where(yhat == cluster)\n", "\t# create scatter of these samples\n", "\tpyplot.scatter(X[row_ix, 0], X[row_ix, 1])\n", "# show the plot\n", "pyplot.title('DBSCAN clustering')\n", "pyplot.xlabel('x')\n", "pyplot.ylabel('y')\n", "pyplot.show()" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 295 }, "id": "ZD3uDhO5lS1h", "outputId": "4d8ff558-6ae8-4410-aa5a-8f62a69de19d" }, "execution_count": null, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "<Figure size 432x288 with 1 Axes>" ], "image/png": "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\n" }, "metadata": { "needs_background": "light" } } ] }, { "cell_type": "markdown", "source": [ "##[k-Means clustering](https://projecteuclid.org/ebooks/berkeley-symposium-on-mathematical-statistics-and-probability/Proceedings%20of%20the%20Fifth%20Berkeley%20Symposium%20on%20Mathematical%20Statistics%20and%20Probability,%20Volume%201:%20Statistics/chapter/Some%20methods%20for%20classification%20and%20analysis%20of%20multivariate%20observations/bsmsp/1200512992)\n", "\n", "May be the most widely known clustering method. During the creation of the clusters the algorithm trys to minimize the variance within each cluster.\n", "\n", "To use it we have to define the *number of clusters*.\n" ], "metadata": { "id": "dPEpYggOrOYg" } }, { "cell_type": "code", "source": [ "from sklearn.cluster import KMeans\n", "\n", "# define the model\n", "model = KMeans(n_clusters=2)\n", "\n", "# fit the model\n", "model.fit(X)\n", "# assign a cluster to each example\n", "yhat = model.predict(X)\n", "# retrieve unique clusters\n", "clusters = unique(yhat)\n", "# create scatter plot for samples from each cluster\n", "for cluster in clusters:\n", "\t# get row indexes for samples with this cluster\n", "\trow_ix = where(yhat == cluster)\n", "\t# create scatter of these samples\n", "\tpyplot.scatter(X[row_ix, 0], X[row_ix, 1])\n", "# show the plot\n", "pyplot.title('k-Means clustering')\n", "pyplot.xlabel('x')\n", "pyplot.ylabel('y')\n", "pyplot.show()\n" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 295 }, "id": "_a25o-IeyuRS", "outputId": "48774fda-248a-4ec8-bcbb-c38c084b620d" }, "execution_count": null, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "<Figure size 432x288 with 1 Axes>" ], "image/png": "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\n" }, "metadata": { "needs_background": "light" } } ] }, { "cell_type": "markdown", "source": [ "There is a modified version of k-Means, which is called [Mini-Batch K-Means](https://dl.acm.org/doi/10.1145/1772690.1772862) clustering. The difference between the two that updated vesion using mini-batches of samples rather than the entire dataset. It makes faster for large datasets, and more robust to statistical noise. " ], "metadata": { "id": "vZH3eHur24O5" } }, { "cell_type": "markdown", "source": [ "##[Mean shift clustering](https://www.computer.org/csdl/journal/tp/2002/05/i0603/13rRUxYrbVE)\n", "\n", "The algorithm is finding and adapting centroids based on the density of examples in the feature space.\n", "\n", "To apply it we don't have to define any parameters." ], "metadata": { "id": "oXX4Yx562zuZ" } }, { "cell_type": "code", "source": [ "from sklearn.cluster import MeanShift\n", "\n", "# define the model\n", "model = MeanShift()\n", "# fit model and predict clusters\n", "yhat = model.fit_predict(X)\n", "# retrieve unique clusters\n", "clusters = unique(yhat)\n", "# create scatter plot for samples from each cluster\n", "for cluster in clusters:\n", "\t# get row indexes for samples with this cluster\n", "\trow_ix = where(yhat == cluster)\n", "\t# create scatter of these samples\n", "\tpyplot.scatter(X[row_ix, 0], X[row_ix, 1])\n", "# show the plot\n", "pyplot.title('Mean shift clustering')\n", "pyplot.xlabel('x')\n", "pyplot.ylabel('y')\n", "pyplot.show()" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 295 }, "id": "NvZN96QB4pd7", "outputId": "46e8a8ca-13d5-4119-cba2-092dccb3a635" }, "execution_count": null, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "<Figure size 432x288 with 1 Axes>" ], "image/png": "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\n" }, "metadata": { "needs_background": "light" } } ] }, { "cell_type": "markdown", "source": [ "## The main characteristics of the clustering algorithms\n", "\n", "![clustering_methods](https://github.com/OSGeoLabBp/tutorials/blob/master/english/data_processing/lessons/images/clustering_methods.png?raw=true)\n", "\n" ], "metadata": { "id": "1bx6HSLP6SxD" } }, { "cell_type": "markdown", "source": [ "**Task**\n", " - Test the different clustering algorithms on different datasets!\n", " - Check and use [scikit-learn's documentation](https://scikit-learn.org/stable/auto_examples/cluster/plot_cluster_comparison.html) to compare the algorithms! " ], "metadata": { "id": "8ZhIoBR-Hs6y" } }, { "cell_type": "markdown", "source": [ "# Applying ML based clustering algorithm on point cloud \n", "\n", "The presented culstering method can be useful when we would like to separate group of points in a point cloud. \n", "\n", "Most cases when we would like to apply clustering on a point cloud the number of clusters is unknown, but as we have seen above there are severeal algorithms (like DBSCAN, OPTICS, mean shift) where the number of clusters don't have to be defined. \n", "\n", "Therefore, in the following section we are going to apply one of these, the DBSCAN clustering algorithm to separate roof points of buildings.\n", "\n", "**First, let's download the point cloud!**\n" ], "metadata": { "id": "QfvyeQ1oyKpZ" } }, { "cell_type": "code", "source": [ "!wget -q https://github.com/OSGeoLabBp/tutorials/raw/master/english/data_processing/lessons/code/barnag_roofs.ply" ], "metadata": { "id": "TuX9afeKCfMT" }, "execution_count": 20, "outputs": [] }, { "cell_type": "markdown", "source": [ "Let's install [Open3D](http://www.open3d.org)!" ], "metadata": { "id": "pthx720ReKuH" } }, { "cell_type": "code", "source": [ "!pip install open3d -q" ], "metadata": { "id": "SBGUfNyXCukA" }, "execution_count": 13, "outputs": [] }, { "cell_type": "markdown", "source": [ "After the installation import modules and display the point cloud!" ], "metadata": { "id": "4mFd5JfUD3Lx" } }, { "cell_type": "code", "source": [ "import open3d as o3d\n", "import numpy as np\n", "\n", "from numpy import unique\n", "from numpy import where\n", "from sklearn.datasets import make_classification\n", "from sklearn.cluster import DBSCAN\n", "from matplotlib import pyplot\n", "\n", "pc = o3d.io.read_point_cloud('barnag_roofs.ply',format='ply')\n", "xyz = np.asarray(pc.points)\n", "\n", "# display the point cloud\n", "pyplot.scatter(xyz[:, 0], xyz[:, 1])\n", "pyplot.title('The point cloud of the roofs')\n", "pyplot.xlabel('y_EOV [m]')\n", "pyplot.ylabel('x_EOV [m]')\n", "pyplot.axis('equal')\n", "pyplot.show()\n", "\n", "'''\n", "3d display TODO\n", "fig = plt.figure()\n", "ax = fig.add_subplot(projection='3d')\n", "ax.scatter(xyz[:, 0], xyz[:, 1],xyz[:, 2])\n", "ax.view_init(30, 70)\n", "'''\n", "# define the model\n", "model = DBSCAN(eps=0.30, min_samples=100)\n", "\n", "# fit model and predict clusters\n", "yhat = model.fit_predict(xyz)\n", "#print(yhat)\n", "\n", "# retrieve unique clusters\n", "clusters = unique(yhat)\n", "print('Number of clusters: '+str(clusters))\n", "\n" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 314 }, "id": "J0YjoMq5C6RC", "outputId": "b7c4bbb4-562f-4824-db99-1aacbdae1c0d" }, "execution_count": 29, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "<Figure size 432x288 with 1 Axes>" ], "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYQAAAEXCAYAAACtTzM+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nO3de7xcVX338c+XxBAIQQi3Qkg4aYhFCm3AoKFBiyJyiUr0AYEShMqlj7VahIJBqKKFGiuPBbWt5WJFoBi8NNKGimChFiTBAIFYREkgJoRbJNzlGn7PH3sdGA7nzOWc2ZeZ+b5fr3llZt/mt3fOrN9ea+29tiICMzOzjcoOwMzMqsEJwczMACcEMzNLnBDMzAxwQjAzs8QJwczMACcEG4KksyRdVoE4/lPSMQV8zzclnZ3DdvskhaTRw1h3E0n/LukJSd9pcp0bJB3feqT5Gs6+WPFa/iO17iDp6ZqPmwLPAxvS5z8rPqLBRcRBzS4r6Qbgsoi4KL+ICnUosB2wVUS8NHCmpLOAnSNibtGBDUPdfbFqcA2hR0XEZv0vYDXwvpppl5cdnwGwE/CrKhSgw6nhDFCZfbGhOSFYPWMkfUvSU5L+V9KM/hmSdpD0PUnrJN0n6RNDbSQ1x3xd0rVpW/8taaea+X8k6WepOeFnkv6oZt4rTSCSjpV0o6RzJT2WvvegNO8c4O3A1yQ9LelrQ8Syj6SfSnpc0hpJxw6x3AmSVkhaL+kqSTuk6a9rAhoQ46gU328k3QvMrneAJb05rf94OsbvT9M/B3wGODztz3ED1jsQ+HTN/DtqZu8k6aZ0rH8kaeua9WbW7P8dkvatE9sqSZ+SdCfwjKTRkt6f4nw8xf3m4eyLpJ3T38ET6VgtqHecrCAR4VePv4BVwLsHTDsLeA44GBgFfAFYnOZtBNxK9iMfA/wucC9wwBDb/ybwFPAOYGPgfODGNG8C8BhwNFkT5pHp81Zp/g3A8en9scCLwAkppo8CDwAauOwQceyU4jgSeAOwFTC9Jsaz0/t3Ab8B9kzxfhX4SZrXBwQwuma7tTH+X+BuYFLat+sHLl+z3huAFWQF+5j0vU8Bv1fzf3BZnf153fwUy0rgTcAm6fP8NG8i8Gj6P90I2D993qbO38WytC+bpG0+k9Z7A3Bain9Mq/sCXAGckeIYC+xT9u/Ar+ieGoKkw9JZycu1Z7KDLPfJtNzPJV0haWyafrmkX6bp35D0hgHr7SXpJUmHNhHLKknLJS2TtHTke1eaGyPi6ojYAFwK/GGavhdZIfL5iHghIu4FLgSOqLOtRRHxk4h4nqwg2FvSJLIz6Hsi4tKIeCkiriArUN83xHZ+HREXppguAbYna5tuxp8A10XEFRHxYkQ8GhHLBlnuKOAbEXFbivf0FG9fE9/xIeC8iFgTEevJEulQZgKbkRXYL0TEfwH/QZawRuJfIuJXEfEscCUwPU2fC1yd/k9fjohrgaVkCWIoX0n78ixwONn/47UR8SJwLlmi+KNh7MuLZAl6h4h4LiJuHNkuWzt0ZEKQtK+kbw6Y/HPgg8BP6qw3EfgEMCMidiM7y+wvxC4HdgF2J/sjP75mvVHAF4EftRDmOyNiekQMmZw6wEM1738LjE1NJTsBO6SmgcclPU52ZlivYF7T/yYingbWAzuk168HLPtrsrPZujFFxG/T282a2BfIznRXNrHca2JK8T5aJ6aB666p+Txw3163bES8PGD5Zr6nnoH/b/3HZyfgsAH/b/uQJdWh1O7LwOPycpo/kdb35TRAwC3pBO0jjXfL8tY1VxlFxC8AJDVadDSwiaQXya6ueSCtf3X/ApJuAXasWefjwPfIzoypWe5UsjPCjYF/i4jPjmwvOsYa4L6ImNbCOpP630jajKw55YH02mnAspOBHw4jrkZD964B3trEdl4Tk6RxZM1La8maTCD723kyvf+dmnUfpGZfyfal3vdMkrRRTUE6GfhVEzFC4/0daA1waUSc0MI6td/xANkJEwDKfmyTyI7LBlrYl4h4iKzpD0n7ANdJ+klErGghNmuzjqwhDFdErCWr5q4m++E+ERGvOetPTUVHkwqkVKv4APBPA5Z7DzCNrICZDrxF0jv6vwr4kaRbJZ2Y3x6V5hbgqdThuEnqSN1N0l511jk4deiOAf6GrD9iDXA18CZJf5I6LQ8HdiVrbmjVw2T9GUO5HHi3pA+l79pK0vRBlrsC+FNJ0yVtDPwtsCQiVkXEOrICcG7a748AU2vWvRL4hKQdJW0JzKsTzxKyM/jTJL0hdfC+D/h2C/vbJ6nZ3/FlwPskHZBiH5tq2zs2XDNzJTBb0n7pd3IK2eXKP211X1ITb//3Pkb2m3l5sGWtOB2VECQtkbQMuAh4f2qjXybpgCbX3xI4BJhCVsUdJ2ngNdz/SNaB+D/p83nApwZUhQHek163A7eRNTf1nzHvExF7AgcBH6tJFF0htd+/lywR3kfWAXsR8MY6q/0r8FmypqK3kLVnExGPpm2dQtYscxrw3oj4zTBCOx84VNkVSF8ZJO7VZO3lp6Q4lvFqv0jtctcBf01WK3yQrMCv7R85ATg1xfv7ZAVivwuBa4A7yP4uvj9UsBHxAlmheRDZMfxH4MMRcXdzu0v/DV6PSrqt0cIpAR9C1ry3jqzGcCpNlgMR8Uuy/7evpnjfR3a58gvD2Je9gCXK7oe5CvjL1BdlJeq/OqOjpLOPYyPi2EHm3QD8VUS8rjNX0mHAgRFxXPr8YWBmRPx5+vxZYA/gg/0JQNJ9ZG2dAFuTnQWdSHaJ468i4p8bxHoW8HREnNvyjnaJ1N9zf0ScWXYsZja0jqohtMFqYKakTVP7535Af9/D8cABwJG1tYGImBIRfRHRB3wX+POIWEh2FviR1B6OpImStpU0TtL4NG0cWS3i58XtopnZ8HRNQpD0AUn3A3sDiyRdk6bvIOlqgIhYQlao3wYsJ9v/C9Imvk52lczNqRnqM/W+L/U9/Gtafnna7vi0jRuV3Sh0C9llesPpIDUzK1RHNhmZmVn7dU0NwczMRqZj7kPYeuuto6+vr+wwzMw6yq233vqbiNimmWU7JiH09fWxdGknjwJhZlY8SfXuln8NNxmZmRnghGBmZokTgpmZAU4IZmaWOCGYmRnQQVcZmVXFmQuXc8WSNWyIYJTEkW+bxNlzdm+8olnFOSGYNalv3qLXTdsQwWWLVwM4KVjHc5ORWQN98xYNmgxq9ScFs07mGoLZEBolAbNu4xqC2QDN1AiGWs+skzkhmNVwoW69zAnBjOHXCgba/8s3jDwYs5K4D8F61sLb13LSgmVt3eY9jzzT1u2ZFckJwXpSnk1DffMWsWr+7Ny2b5YXNxlZT2lX01Az32PWaZwQrGe4kDarzwnBeoKTgVlj7kOwrlOVwt99CdZpXEOwrrHw9rWVSQb9djnj6rJDMGuaawjWFaqWCPo9tyHKDsGsaa4hWEcr6qqhkah6fGb9XEOwjuRC1qz9XEOwjtIJNYLBdGLM1nucEKxjdHqhetSFN5cdglldTgjWETo9GQDctHJ92SGY1eWEYJXXDcmgXzfti3UfdypbYeoVhr10A9fbzrmWJWfsX3YYZq/jGoLlbpczrm54ZtxLZ84PP/VC2SGYDco1BMtNq4W8h3owK5drCNZ2I7k0dOfTe6Om0Es1IuscTgjWNu24R+ClHhrp4Q8++8OyQzB7DScEG7GjLry5rWe8A7c1dpTatu0qefL5DWWHYPYa7kOwlpTR1HH3OQe3/XvnzpzMt5esLr1Gsv+Xb+Dak/ctNwizxDUEa1qRyWDgd82aOqFt2547czJnz9mdFV8ovwP7nkeeKTsEs1c4IVhl1SaFy0/Ye8TbWzV/Nqvmz+bsObu/ZlrZ3MFsVeEmI2uozAKrHd89bdtxbpYxa4IiOuOyjhkzZsTSpUvLDqPndPLZaytn/1XYzyrUVqz7SLo1ImY0s6ybjKzr9DcNteK8w6fnFE3zzly4vOwQrMc5IVjXmDtz8rDPsufsMbHN0bTussWryw7BelzufQiSPgkcDwSwHPhTYHvg28BWwK3A0RHhAV6sad3avOLhO6xMudYQJE0EPgHMiIjdgFHAEcAXgb+PiJ2Bx4Dj8ozDrBkuiK3XFdFkNBrYRNJoYFPgQeBdwHfT/EuAOQXEYV0iz/b+KtwVXYUObutNuSaEiFgLnAusJksET5A1ET0eES+lxe4HBm3AlXSipKWSlq5bty7PUG0Io8svH18nz/b+u885OLdtt8JJwcqQd5PRlsAhwBRgB2AccGCz60fEBRExIyJmbLPNNjlFafVU4W7eolXhiiOzMuTdZPRu4L6IWBcRLwLfB2YBW6QmJIAdgbU5x2EjMG3bcWWH8Bp5nz1X4YojcC3Bipd3QlgNzJS0qSQB+wF3AdcDh6ZljgF+kHMcNgJVvMt34e35nkO4g9l6Ud59CEvIOo9vI7vkdCPgAuBTwMmSVpBdenpxnnHYyFWtGeWkBcvKDqEQriVYkXK/yigiPhsRu0TEbhFxdEQ8HxH3RsRbI2LniDgsIp7POw4bmao0o9Ta5Yyrc92+awnWa3ynsjWtagXkcxs6YxyukXItwYrihGAdLe/CsipJMO8+EzNwQrAWVaWArJX3oHBVuFmtV/pMrFxOCNayuTMnlx3Ca+Q9KJxvVrNe4YRgLat94lhVHHXhzbluv2pXWZnlwQnBhqVqTUc3rVyf6/arcpWVawmWJycEsyZVLQmatZsTgg2bC8hyuJZgeXFCsBHplbb1hbevdUFsXc8JwUakKm3reXrbOddW7rJPJyfLgxOCjVi3Nh2duXA5ffMW8fBT1Xy6q5OCtZsTgrVFFYbIbmcBeebC5X7ovfUcJwRri6oMkd2OpLD/l2/omGSQ9wB/1lucEKxtqtJ0NGUESaFv3iLueeSZNkaTr14Z4M+K4YRgXWc4RWTfvEUd2ybfqXFb9TghWFtVpZbQyoB33VCg5j10h/UGJwTrSpctXp37KKhVkvfQHdYbnBCs7apSS+iUjuF2cQezjZQTguWiKncwd0NzULPcwWwj5YRgueiFO5irqJcSoLWfE4LlpipNRy4kzZrjhGC56oSkUJUY28UJ0IbLCcEMmDV1QtkhmJXOCcFyV5Uz8HpnzpefsHeBkeTPtQQbDicEK8TmG48qOwSgfkFZlRjNyuKEYIW483MHlh1CQ50QYytcS7BWOSFYYTqh6agqMbbLwtvXlh2CdRAnBCuUO2+LVbUnvVm1OSFYoarSedtLtQQ3HVmznBCscFUpcOuNEFqVGM2K5IRgpZg7c3LZITQcIXTsKBUUiVk1OCFYKc6es3vZIQD1m1PuPufgAiMxK58TgpWmE5plqjJqq1kRnBCsVFVICvVqCXP2mMhotxxZj3BCsNJVobytlxRWfKH8pDUS240fU3YI1iFG15sp6eQmtvFMRPxzm+KxHnTf/NmVvzRyVQfEOJjtxo9hyRn7lx2GdYhGNYRTgc2A8XVep9TbgKQtJH1X0t2SfiFpb0kTJF0r6Z7075Yj3xXrZFVvOoJqxNiKVfNnOxlYS+rWEIBLI+Lz9RaQNK7BNs4HfhgRh0oaA2wKfBr4cUTMlzQPmAd8qtmgrTttN34MDz/1Qtlh1NUJNYVOS1xWHXVrCBFxWqMN1FtG0huBdwAXp2VfiIjHgUOAS9JilwBzmg3YulennM1WucCtcmxWfY1qCEDW7AN8GOirXSciPtFg1SnAOuBfJP0hcCvwl8B2EfFgWuYhYLvWwrZu1Qln4FU0d+bkytzbYZ2rqYQAXA0sBpYDL7e4/T2Bj0fEEknnkzUPvSIiQlIMtrKkE4ETASZPLv/OViuGgEH/ICqkSonLtQJrl2YTwtiIaOaKo4HuB+6PiCXp83fJEsLDkraPiAclbQ88MtjKEXEBcAHAjBkzql5GWJt0wlVHZdt841Fd9/wGK1+z9yFcKukESdunK4QmSGo4jnFEPASskfR7adJ+wF3AVcAxadoxwA9aDdy6Wxlnva2OXVTWmfm0bcc5GVgumk0ILwBfAm4m6we4FVja5LofBy6XdCcwHfhbYD6wv6R7gHenz2avMW3bRhewtddwxi4qIync88gzhX+n9YZmm4xOAXaOiN+0+gURsQyYMcis/VrdlvWWa0/et5Cmo1lTJ1TmOQ1mZWq2hrAC+G2egZgNJu8z8FXzZ484GbhT17pFszWEZ4Blkq4Hnu+f2MRlp2YjNmvqhIbPLmiVC3Gz12u2hrAQOAf4Ka/2IdyaV1BmtdrdnJNHMig6wZy5cHmh32e9oakaQkRc0ngps/y047r/vAvtPGoyQ7ls8WqgOg8asu5Qt4Yg6YJGG2hmGbN2GO7DarYbP6aQM/jLT9i70Gcn9CcFs3ZpVEOYI+m5OvMFvLON8ZgNac4eEzlpwbKmlz/v8OnM2WNijhG93oov+KY661yNEsKpTWzjf9oRiFkzmm06cqexWevqJgT3HVgV1UsKVbinoErjHJm1otnLTs0qpeo1gM03HsWTz28oOwyzlviZymY58FhD1okaXWV0mKSxRQVj1k2qXosxG6hRDeFPgNWSLpV0sKRRRQRlZmbFa/QIzQ8AOwPXkY1aer+kr0v64yKCMzOz4jTsQ4iIJyPikog4CNgNuB34iqQ1uUdn1uHcbGSdpOlOZUlbAh8EDgcmkD39zMwaGO4d1o3MnenHylp7NepU3kzS0ZKuBn5B9lyDvwEmR8QniwjQrNPldbe0xzGydmtUQ1gFHAD8IzApIv4sIq6PCD/f2KwFbjqyTtDoxrRJEfGspCnAAZIA7oqIe/MPzczMitSohjBa0pVkVxl9JL2uk/QdSZvnHp1ZF3EtwaquUUL4KnAXMC0iPhgRHwSmAsuBr+UdnFm3aVdSmLjFJm3ZjlmtRk1GsyLi2NoJqf/g85LuyS0qM6vr1AN+r6nlpsxbRG2Hn4D7XFOxIYxkLKMCHwVi1j1Gehnq3JmT6165tPD2tfTNW0TfgGQAEOCRWG1IjRLCTyV9Rqk3uZ+kvwZuzi8ss+41Z4+Jw04K5x0+ve7lpn3zFjX1ECEnBRtMoyajjwMXAysk9f+VTSe7W/n4PAMz62atPv0N6vc/7Hz6Il7yxeA2Qo0ekPMkcJikqcCuafJdEbEy98jMulwrD9IZKhn8wWd/6OcuWNs0ulN5LkBKAOsj4t/7k4GkvyggPrOu1uiqo803HjXkMn3zFjkZWFs1ajI6Gbgsvf8qsGfNvI/gS0/NRqzVS1Hd/m95adSprCHeD/bZzHLmZGB5apQQYoj3g302sxwtvH1tW7c3xcnFBmiUEHaRdKek5TXv+z83d2eMmbXFl675ZVu353sSbKBGfQhvLiQKM2vogcefzWW7ffMWMXfmZA+nbQ0vO/11MxuRdHNE7N2ekMxsMDtssQlrc0oKly1ezX3rnubyE/wz7mUjGbqi1tg2bcfMhtDs+EXDddPK9W3vp7DO0q6E4A5ms5zl9eS1Wq3ePW3dpV0JwcwKUMQzFfrmLXJNoUe1KyH4ngSzgmw3fkzu33HSgmVOCj2oqYQgaddBpu1b8/HoBuuPknS7pP9In6dIWiJphaQFkvL/CzfrEkvO2L+Q7zlpwTKmnn41Zy5cXsj3WfmarSFcKelTymwi6avAF/pnRsTPG6z/l8Avaj5/Efj7iNgZeAw4rpWgzXrdrKkTCvmeDRFctng1R13o0e57QbMJ4W3AJOCnwM+AB4BZzawoaUdgNnBR+izgXcB30yKXAHOaD9nMir481Fcg9YZGN6b1exF4FtiE7BLT+yLi5SbXPQ84DRifPm8FPB4RL6XP9wP5Xz5hlrNm7vptZ6dwK8Nnt8NJC5bxnaWrfa9CF2u2hvAzsoSwF/B24EhJ32m0kqT3Ao9ExK3DCU7SiZKWSlq6bt264WzCrBDNFsztLsDnzpzc1u01ctPK9W4+6mLNJoTjIuIzEfFiRDwYEYcAVzWx3izg/ZJWAd8mayo6H9hCUn/tZEdg0LpoRFwQETMiYsY222zTZKhmxel/dnGr67RLGcNN3LRyfeHfacVoKiFExNJBpl3axHqnR8SOEdEHHAH8V0QcBVwPHJoWOwb4QdMRm1XESAr2dp5lF3FvgvWGsm5M+xRwsqQVZH0KF5cUh9mwjPQs32fZVkWFJYSIuCEi3pve3xsRb42InSPisIh4vqg4zEaqXU0+7Ww6KrKWUNQlr1Y8D11h1oJ2dwp34vMIfJVR93JCMGtSXoV3u67vL6KW4DFqupsTglnJTlqwrGNqCh7WuLs5IZhVRDuSgq84spFwQjDrMkXfrGbdwwnBrELaUUvws5FtuJwQzCrGTUdWFicEsyZ1WiGbR7xjR/k6o27mhGDWgvMOn152CKUZO0rcfc7BZYdhOXJCMGvBnD0mFvIIy3aNddSuWsKq+bOdDHqAE4JZi4p4hGVVxjqatu24jmsqs+FzQjAbhk4qJIcb66r5s7n25H3bG4xVmhOC2TB1UlJoVTfvmw3NCcGsBzRTwG++8ShWzZ/tZNDDnBDMRqCTCs96sc6aOoE7P3dggdFYFY1uvIiZ1ZPHw+7zupKpkxKYFc81BLM2aHdBW8SVTGYDOSGYVYzP4q0sTghmbdKOgtzJwMrkhGDWRiMp0J0MrGzuVDYrmROBVYVrCGZt1mwB72v+rWqcEMxy0KigdyKwKnKTkVlOOrXQr3dPxbRtx3l8oy7mGoKZvaLRDXb3PPIMu5xxdUHRWNGcEMyMnU9f1PTd1s9tiLY9r8GqxU1GZj1uOMNuVOV5DdZeriGY9bCRjMHU7vGbrHxOCGY9aMq85puI6nFS6C5OCGY9pm/eIqKN23N/QvdwQjDrEUddeHMuZ/Q3rVzPmQuXt327VjwnBLMe0DdvUa4dwZctXp3btq04TghmXc7t/NYsJwSzLtXXpo7jVr7POpsTglkXKqtwdlLobE4IZl2klTuO81L299vwOSGYdYm+eYt4qZ3Xk46AL0XtTLkOXSFpEvAtYDsggAsi4nxJE4AFQB+wCvhQRDyWZyxm3Wr/L9/APY88U3YYr+GhLTpT3jWEl4BTImJXYCbwMUm7AvOAH0fENODH6bOZtahv3qLKJYN+bjrqPLkmhIh4MCJuS++fAn4BTAQOAS5Ji10CzMkzDrNu1AkFbifEaK8qrA9BUh+wB7AE2C4iHkyzHiJrUhpsnRMlLZW0dN26dYXEadYJOqmg7aRYe10hCUHSZsD3gJMi4snaeRERMPjQKhFxQUTMiIgZ22yzTQGRmlVfJxawC29fW3YI1oTcE4KkN5Alg8sj4vtp8sOStk/ztwceyTsOs27QickA4KQFy8oOwZqQa0KQJOBi4BcR8eWaWVcBx6T3xwA/yDMOs27Qqcmgnx+9WX15PzFtFnA0sFxS/ynCp4H5wJWSjgN+DXwo5zjMrGTPbajITRI2pFwTQkTcCGiI2fvl+d1mZtYa36lsZmaAE4KZmSVOCGZmBjghmHWMVfNnlx3CiHR6/L3ACcGsg3RioTp2lDoy7l6U92WnZtZmo0VlhrmuZ+wocfc5B5cdhrXACcGsw6z4wuzK36TmGkFncpORWQeatu24skMYkpNB53JCMOtA1568L5tvPKrsMF7HyaCzOSGYdag7P3dg2SG8YtX82U4GXcAJwayDVaGW4ETQPZwQzDpYmbWEWVMnOBl0GScEsw5XRqE8a+oELj9h78K/1/LlhGDWBYpMCucdPt3JoEs5IZh1iSKSwqr5s5mzx8Tcv8fK4YRg1kVmTZ2Q23bdX9D9fKeyWRe5/IS9234XsxNB73ANwazLtKsA96B0vcc1BLMutGr+yMY7ciLoTa4hmHWp8w6fPqz1nAx6lxOCWZdq9Wqg7caPcTLocU4IZsasqRNYcsb+ZYdhJXNCMDPfaGaAE4JZV5s7c3LZIVgHcUIw62Jnz9md7caPKTsM6xBOCGZdbskZ+9e9g9kdydbP9yGY9QD3EVgzXEMwMzPACcHMzBInBDMzA5wQzMwscUIwMzMAFBFlx9AUSeuAXw+YvDXwmxLCGQnHXAzHXAzHXIyRxLxTRGzTzIIdkxAGI2lpRMwoO45WOOZiOOZiOOZiFBWzm4zMzAxwQjAzs6TTE8IFZQcwDI65GI65GI65GIXE3NF9CGZm1j6dXkMwM7M2cUIwM7NMRBT+AlYBy4FlwNI07SxgbZq2DDg4Td8KuB54GvjagO28JW1nBfAVUhNYzfxTgAC2Tp+VllsB3AnsWaWYgY8DdwP/C/xdzfTT0/K/BA6o0rEGpgOL+7cPvLVCx/ocYA3w9IDpGwMLUmxLgL6RHusCYj4ZuCsdyx+TXVveP+8Y4J70OqYqMdfM/z9kv8MZVT/Oad6H0rH+X+Bfq36cgclpndvT38fBwz3ObSvkW3mlA7T1gGlnAX81yLLjgH2A/zvIAboFmElW+PwncFDNvEnANWQ3s/UnhIPTckrrLalKzMA7geuAjdPnbdO/uwJ3kBViU4CVwKgKxf2jmvcHAzdU6FjPBLYf5Af058DX0/sjgAUjPdYFxPxOYNP0/qM1MU8A7k3/bpneb1mFmNO88cBPyE4aZnTAcZ5GVrBuOeB3WNnjTNbh/NGaY7tquMe58k1GEfFMRNwIPFc7XdL2wOYRsTiyvf8WMKdmkb8HTiM7M+l3CPCtyCwGtkjbqULMHwXmR8TzaRuP1MT87Yh4PiLuI8v2b213zCOIO4DN0/s3Ag/UxF3asU7zFkfEg4OsdghwSXr/XWA/SaKgYz2cmCPi+oj4bfq4GNgxvT8AuDYi1kfEY8C1wIFViDn5G+CLA9ar7HEGTgD+IR3L2t9hlY9zvd9gS8e5rIQQwI8k3SrpxJrpfyHpTknfkLRlg21MBO6v+Xx/moakQ4C1EXHHIOusGWydsmMG3gS8XdISSf8taa82xFxE3CcBX5K0BjiXrIo60rjbEXM9r8QWES8BT5BV1ascc63jyGpfUOGYJe0JTIqIRQNmVTZmst/hmyTdJGmxpP5Cv8oxnwXMlXQ/cDVZ0/OwYi4rIewTEXsCBwEfk/QO4J+AqWRt0g8C/284G5a0KfBp4DNtirVfbjEno8mqozOBU4Er01nrSOUd90eBT0bEJOCTwMUjjBfyjzkPhcQsaS4wA/jSSA1U9WgAAATLSURBVLdFvr/DjYAvk/XjtVMRv8NpwL7AkcCFkrYYUcT5x3wk8M2I2JGsqfbSdPxbVkpCiIi16d9HgH8j64h8OCI2RMTLwIU0rkKu5dVqM+n9WrKDPAW4Q9KqNP02Sb+T5k8aZJ2yY4Yse38/NbHcArxMNqDVsGMuKO5jgO+n99+p2VbZx7qeV2KTNJqsmv1oxWNG0ruBM4D39zctVjjm8cBuwA3pdzgTuErSjArHDNnv8KqIeDE1s/yKLEFUOebjgCvTd9wMjGWYZUfhCUHSOEnj+98D7wF+PqB9+QPAz+ttJ7WlPSlpZjqT/jDwg4hYHhHbRkRfRPSR/QfvGREPAVcBH1ZmJvBEnbbPwmJOsxeSdRwi6U3AGLLRDa8CjpC0saQpZH+ctzSKucC4HwD+OL1/F9kVGFDysW7gKrJEBnAo8F+pb2RYx7qImCXtAfwzWTJ4pGbWNcB7JG2Zmh3ek6aVGnNEPBERW9f8Dhen2JdS4eNM9jvcN33H1mRNSPdS0eOcrAb2S9/xZrKEsI7hHOdoope8nS/gd8l6vu8gu6zrjDT9UrJLs+5MO7J9zTqrgPVkl2LdD+yaps9IB3Il8DUGXHZas27tZaf/kJZfTs1lcGXHTJYALkvzbgPeVbOtM9Lyv6TmSqqKxL0PcGv6jiXAWyp0rP8ufX45/XtWmj6WrDazguwH8rsjOdYFxXwd8DCvXqZ4Vc22PpL2ZQXwp1WJecD33cBrLzut6nEWWVPXXWmbR1T9OJNdTXRT+o5lwHuGe5w9dIWZmQG+U9nMzBInBDMzA5wQzMwscUIwMzPACcHMzBInBDMzA5wQzACQ1CfpWUnLal4fTvPeKOlbklZIWpnev1HSppIelbT5gG0tlHT4gGnHSlon6aIW4/qSpIck/dXI99KsPicEs1etjIjpNa9vpekXA/dGxM4RMRW4D7gostFHryG70xTIkgfZzXr/Psj2F0TE8a0EFBGnAl8fzs6YtWp02QGY5UXS54H1EXFe+nwO8EhEnN/CNnYmezhQ7Rn/54EVkqYCV5A9X6F/OO0PANfEq0NVD7XdY8mGEB9HNqTAuWR3qx8NPE/2kJP1zcZp1g6uIVg3+wbZuEv9o28eQTY8yFCmDmgyejvZsADLImJD/0Lp/TLg98lqCHtK2irNPoIsSTRjN+CDwF5kT8P6bUTsAdzcH7dZkVxDsK4VEatSG/8ewHbA7RHxaJ1VVkbE9NoJkt7f4DtekHQVcKik7wF70MSgZ8n1EfEU8JSkJ3i1mWk58AdNbsOsbZwQrNtdBBwL/A5ZjaFVdwHTJW0U2VDF/bWN6WkeZDWCvyYbGO0HEfFik9t+vub9yzWfX8a/TSuBm4ys2/0b2aMO96L5M/dXRMQKsmfsnlkz+UzgtjQPspE8pwEfo/nmIrPKcUKwrhYRLwDXA1fW9gMMYWAfwifS9OPIHqu4UtJKsjHyj6v5jpfJns28FfDf7d8Ls2J4+Gvraql55zbgsIi4p9HyOcZxLNnzAP5iGOueBTwdEee2Oy6zWq4hWNeStCvZw0x+XGYySJ4FDhrOjWnAXOCZXKIyq+EagvUUSbuTPa2q1vMR8bYy4jGrEicEMzMD3GRkZmaJE4KZmQFOCGZmljghmJkZAP8ft5MdmTwM+98AAAAASUVORK5CYII=\n" }, "metadata": { "needs_background": "light" } }, { "output_type": "stream", "name": "stdout", "text": [ "Number of clusters: [-1 0 1 2 3 4 5 6 7 8]\n" ] } ] }, { "cell_type": "markdown", "source": [ "Let's use DBSCAN on the imported point cloud. " ], "metadata": { "id": "5CzEcVC6jL7M" } }, { "cell_type": "code", "source": [ "# Save clusters as \n", "for cluster in clusters:\n", " # get row indexes for samples with this cluster\n", " row_ix = where(yhat == cluster)\n", "\n", " # create scatter of these samples\n", " pyplot.scatter(xyz[row_ix, 0], xyz[row_ix, 1], label=str(cluster)+' cluster')\n", "\n", " # export the clusters as a point cloud\n", " xyz_cluster = xyz[row_ix]\n", " pc_cluster = o3d.geometry.PointCloud()\n", " pc_cluster.points = o3d.utility.Vector3dVector(xyz_cluster)\n", " if cluster >= 0:\n", " o3d.io.write_point_cloud('cluster_' + str(cluster) + '.ply', pc_cluster) # export .ply format\n", " else:\n", " o3d.io.write_point_cloud('noise.ply', pc_cluster) # export noise \n", "\n", "# show the plot\n", "pyplot.title('Point cloud clusters')\n", "pyplot.xlabel('y_EOV [m]')\n", "pyplot.ylabel('x_EOV [m]')\n", "pyplot.axis('equal')\n", "pyplot.show()\n" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 296 }, "id": "8ActoQPeQhvG", "outputId": "aeae3508-5177-4bf0-c87c-c7ed48830c32" }, "execution_count": 31, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "<Figure size 432x288 with 1 Axes>" ], "image/png": "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\n" }, "metadata": { "needs_background": "light" } } ] }, { "cell_type": "markdown", "source": [ "**Task for practice**\n", "- Use other clustering algorithms on point clouds!\n", "- Compare the built-in Open3D and scikit-learn DBSCAN algorithm!" ], "metadata": { "id": "_GCJNr3tJZ6z" } }, { "cell_type": "markdown", "source": [ "#Sources\n", "- https://scikit-learn.org/stable/index.html\n", "- https://machinelearningmastery.com/clustering-algorithms-with-python/\n", "- https://uk.mathworks.com/content/dam/mathworks/ebook/gated/machine-learning-ebook-all-chapters.pdf\n", "- https://mitsloan.mit.edu/ideas-made-to-matter/machine-learning-explained\n" ], "metadata": { "id": "Pk1242R4q1sK" } } ] }
cc0-1.0
zsedem/haskell-playground
Notebooks/JiraActivtiesRSSpoc.ipynb
1
19978
{ "cells": [ { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "{-# LANGUAGE OverloadedStrings, TemplateHaskell, DisambiguateRecordFields #-}\n", "import Data.Maybe\n", "import Control.Monad\n", "import Text.Feed.Import\n", "import Text.Feed.Types\n", "import Text.Atom.Feed as F(Feed, Entry(..), EntryContent, Category, Generator, Link, Source, Person, InReplyTo, InReplyTotal, TextContent)\n", "import Control.Lens hiding (makeClassy)\n", "import qualified Language.Haskell.TH.Syntax as TH\n", "import qualified Language.Haskell.TH.Lib as TH\n", "import qualified Text.XML.Light as XML\n", "import Text.XML.Light(parseXMLDoc)\n", "\n", "makeClassy = makeLensesWith $ classyRules & lensField .~ (\\_ _ name -> [TopName $ TH.mkName $ TH.nameBase name])\n", "makeClassy' = makeLensesWith $ classyRules & lensField .~ (\\_ _ name -> [TopName $ TH.mkName $ \"_\" ++ TH.nameBase name ])\n", "\n", "\n", "makePrisms ''XML.Content\n", "makeClassy ''XML.Element\n", "makeClassy ''XML.Attr\n", "makeClassy ''XML.CData\n", "makeClassy' ''XML.QName\n", "\n", "makeClassy ''F.Feed\n", "makeClassy ''F.Entry\n", "makeClassy ''F.Category\n", "makeClassy ''F.Generator\n", "makeClassy ''F.Link\n", "makeClassy ''F.Source\n", "makeClassy ''F.Person\n", "makeClassy ''F.InReplyTo\n", "makeClassy ''F.InReplyTotal\n", "makePrisms ''F.EntryContent\n", "makePrisms ''F.TextContent" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "{-# LANGUAGE RecordWildCards #-}\n", "declareLensesWith (classyRules & generateLazyPatterns .~ True) [d|\n", " data JiraActivity = JiraActivity {_person::Person,\n", " _activityUID::String,\n", " _summary::String}\n", " deriving Show\n", " |]" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [], "source": [ "AtomFeed feed <- parseFeedFromFile \"file.rss\"\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "entryToJiraActivity :: Entry -> JiraActivity\n", "entryToJiraActivity (Entry {..}) = JiraActivity {_person=head entryAuthors\n", " _summary=entryTitle\n", " _content=}\n", " cdData" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "\n", " Added the Affects Version '4.2.NEXT'\n", " Added the Affects Version '4.0'\n", " Added the Affects Version '4.1'\n", " Added the Fix Version '4.2.NEXT'\n", "\n", "------------------------------------------------------------\n", "\n", " 4.2: merged,\n", "\n", "34e56609d88650a46dff54c3919949a615eaeb07 (10 hours ago) [email protected] widgets: button: properly warning spans, needed for multiple warnings\n", "7e243b0131c1f1b82d578115312a884e7625e609 (10 hours ago) [email protected] basic system control: Starting/stopping all traffic ignores disabled protos\n", "e8ac0f76f0fea7aac1b29867b1fe8974d21f65b1 (10 hours ago) [email protected] templates: basic-system-control: add warning to disabled services\n", "ba9ecac00fe6d0cae93279e3f9ec9e34d90233dc (10 hours ago) [email protected] widgets: button: may have warning icon\n", "dcca3105eb7151eb1d2466f79c34c60fd2d1028e (10 hours ago) [email protected] js_scb/basic-system.js: warning: refer Global Options page\n", "7f3c2194eeaf9bbc42df2fb7a8846218ea25d978 (10 hours ago) [email protected] js_scb/basic-system.js: only Stop action is temporary\n", "59df088fa7edf8e2688cce7af8973b785f40c3e0 (10 hours ago) [email protected] Zorp,Traffic Control box: handle disabled services as non-controllable \n", "------------------------------------------------------------\n", "\n", "------------------------------------------------------------\n", "\n", " Tested whith zorp,\n", "should be tested with scb. \n", "------------------------------------------------------------\n", "\n", " Todo: Unit test for inband destination selection mode. \n", "------------------------------------------------------------\n", "\n", " Username with ticket id does not work yet. \n", "------------------------------------------------------------\n", "\n", " Somehow password must be given in xfreerdp argument.\n", "Does not work with password given on prompt. \n", "------------------------------------------------------------\n", "\n", " Find the general story description in the epic's description.\n", "\n", "Improve RDP's inband target selection feature, eliminate limitations (like 9 character long username string).\n", "\n", "Feature: Autologin without gateway authentication in RDP\n", "\n", "It will be examined that RDP server mode of zorp-core is able to be extended to process inband destination data from username field of client info pdu and NTLM authenticate with the proper username to the server.\n", "\n", "The result of the Poc can be replace the current very limited implementation of the indband destination selection.\n", "\n", "The restriction rejecting empty password in server mode should be eliminated, because in inband destination selection mode without nla the password is not needed for the proxy.\n", "No rejecting is done, but it is logged:\n", "\"Client did not send authentication data,...\" \n", "------------------------------------------------------------\n", "\n", " Logged '3 days'\n", " Changed the Remaining Estimate to '0 minutes'\n", "\n", "------------------------------------------------------------\n", "\n", " Document IPv6 support\n", "Alapvetoen az atmeno forgalomhoz van IPv6 support, magan az SCB-n nincs, (pl. local service nem lehet IPv6-on, DNS szerver es hasonlok sem)\n", "Pl. Connection policy: To/From, Fix target, inband destination selection is megy\n", "\n", "\n", "\tkliens/szerver oldal lehet mas tipusu networkben, pl. kliens es a To IPv6, a policyben a Fix target meg IPv4\n", "\tActive connections oldal: IPv6 cimek bracketben vannak [], utana kettospont:szam a port\n", "\tHa valahol IPV6-os cimet irunk be a konfigba, akkor az SCB kanonizalja, es ugy menti el\n", "\tSearch oldalon ha valami IPs mezo filterebe IPv6-ot irunk, a kereses elott kanonizalja az SCB, es ugy keres\n", "\tEzek is tudnak IPv6-ot: Permission query oldal, SSH Host keys\n", "\tSCB network interfaceknek lehet IPv6-os cimet is felvenni, akar vegyesen is (egy if-nek lehet IPv4 es v6 cime is)\n", "\n", "\n", "\n", "\n", "\tChannel policykban is megy IPv6: https://jira.balabit/browse/SCB-4982\n", "\n", "\n", "\n", "Plusz mg:\n", "\n", "\tRPC API: a search megy, de csak a canonical form j, nem tud talaktani\n", " \n", "------------------------------------------------------------" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "text = flip map (feed ^. feedEntries) (\\x ->\n", " x ^.. entryContent\n", " ._Just\n", " . _HTMLContent \n", " . to parseXMLDoc \n", " ._Just \n", " . elContent\n", " . traverse\n", " . deepOf (_Elem.elContent.traverse) (_Text.cdData)) \n", "\n", "forM_ text $ \\entryContent -> do\n", " putStrLn $ concat entryContent\n", " putStrLn \"------------------------------------------------------------\"\n", " " ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<style>/*\n", "Custom IHaskell CSS.\n", "*/\n", "\n", "/* Styles used for the Hoogle display in the pager */\n", ".hoogle-doc {\n", " display: block;\n", " padding-bottom: 1.3em;\n", " padding-left: 0.4em;\n", "}\n", ".hoogle-code {\n", " display: block;\n", " font-family: monospace;\n", " white-space: pre;\n", "}\n", ".hoogle-text {\n", " display: block;\n", "}\n", ".hoogle-name {\n", " color: green;\n", " font-weight: bold;\n", "}\n", ".hoogle-head {\n", " font-weight: bold;\n", "}\n", ".hoogle-sub {\n", " display: block;\n", " margin-left: 0.4em;\n", "}\n", ".hoogle-package {\n", " font-weight: bold;\n", " font-style: italic;\n", "}\n", ".hoogle-module {\n", " font-weight: bold;\n", "}\n", ".hoogle-class {\n", " font-weight: bold;\n", "}\n", "\n", "/* Styles used for basic displays */\n", ".get-type {\n", " color: green;\n", " font-weight: bold;\n", " font-family: monospace;\n", " display: block;\n", " white-space: pre-wrap;\n", "}\n", "\n", ".show-type {\n", " color: green;\n", " font-weight: bold;\n", " font-family: monospace;\n", " margin-left: 1em;\n", "}\n", "\n", ".mono {\n", " font-family: monospace;\n", " display: block;\n", "}\n", "\n", ".err-msg {\n", " color: red;\n", " font-style: italic;\n", " font-family: monospace;\n", " white-space: pre;\n", " display: block;\n", "}\n", "\n", "#unshowable {\n", " color: red;\n", " font-weight: bold;\n", "}\n", "\n", ".err-msg.in.collapse {\n", " padding-top: 0.7em;\n", "}\n", "\n", "/* Code that will get highlighted before it is highlighted */\n", ".highlight-code {\n", " white-space: pre;\n", " font-family: monospace;\n", "}\n", "\n", "/* Hlint styles */\n", ".suggestion-warning { \n", " font-weight: bold;\n", " color: rgb(200, 130, 0);\n", "}\n", ".suggestion-error { \n", " font-weight: bold;\n", " color: red;\n", "}\n", ".suggestion-name {\n", " font-weight: bold;\n", "}\n", "</style><span class='err-msg'>Not in scope: ‘_feedEntries’</span>" ], "text/plain": [ "Not in scope: ‘_feedEntries’" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ ":info _feedEntries" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<style>/*\n", "Custom IHaskell CSS.\n", "*/\n", "\n", "/* Styles used for the Hoogle display in the pager */\n", ".hoogle-doc {\n", " display: block;\n", " padding-bottom: 1.3em;\n", " padding-left: 0.4em;\n", "}\n", ".hoogle-code {\n", " display: block;\n", " font-family: monospace;\n", " white-space: pre;\n", "}\n", ".hoogle-text {\n", " display: block;\n", "}\n", ".hoogle-name {\n", " color: green;\n", " font-weight: bold;\n", "}\n", ".hoogle-head {\n", " font-weight: bold;\n", "}\n", ".hoogle-sub {\n", " display: block;\n", " margin-left: 0.4em;\n", "}\n", ".hoogle-package {\n", " font-weight: bold;\n", " font-style: italic;\n", "}\n", ".hoogle-module {\n", " font-weight: bold;\n", "}\n", ".hoogle-class {\n", " font-weight: bold;\n", "}\n", "\n", "/* Styles used for basic displays */\n", ".get-type {\n", " color: green;\n", " font-weight: bold;\n", " font-family: monospace;\n", " display: block;\n", " white-space: pre-wrap;\n", "}\n", "\n", ".show-type {\n", " color: green;\n", " font-weight: bold;\n", " font-family: monospace;\n", " margin-left: 1em;\n", "}\n", "\n", ".mono {\n", " font-family: monospace;\n", " display: block;\n", "}\n", "\n", ".err-msg {\n", " color: red;\n", " font-style: italic;\n", " font-family: monospace;\n", " white-space: pre;\n", " display: block;\n", "}\n", "\n", "#unshowable {\n", " color: red;\n", " font-weight: bold;\n", "}\n", "\n", ".err-msg.in.collapse {\n", " padding-top: 0.7em;\n", "}\n", "\n", "/* Code that will get highlighted before it is highlighted */\n", ".highlight-code {\n", " white-space: pre;\n", " font-family: monospace;\n", "}\n", "\n", "/* Hlint styles */\n", ".suggestion-warning { \n", " font-weight: bold;\n", " color: rgb(200, 130, 0);\n", "}\n", ".suggestion-error { \n", " font-weight: bold;\n", " color: red;\n", "}\n", ".suggestion-name {\n", " font-weight: bold;\n", "}\n", "</style><span class='err-msg'>Not in scope: ‘elContent’</span>" ], "text/plain": [ "Not in scope: ‘elContent’" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ ":info elContent" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<style>/*\n", "Custom IHaskell CSS.\n", "*/\n", "\n", "/* Styles used for the Hoogle display in the pager */\n", ".hoogle-doc {\n", " display: block;\n", " padding-bottom: 1.3em;\n", " padding-left: 0.4em;\n", "}\n", ".hoogle-code {\n", " display: block;\n", " font-family: monospace;\n", " white-space: pre;\n", "}\n", ".hoogle-text {\n", " display: block;\n", "}\n", ".hoogle-name {\n", " color: green;\n", " font-weight: bold;\n", "}\n", ".hoogle-head {\n", " font-weight: bold;\n", "}\n", ".hoogle-sub {\n", " display: block;\n", " margin-left: 0.4em;\n", "}\n", ".hoogle-package {\n", " font-weight: bold;\n", " font-style: italic;\n", "}\n", ".hoogle-module {\n", " font-weight: bold;\n", "}\n", ".hoogle-class {\n", " font-weight: bold;\n", "}\n", "\n", "/* Styles used for basic displays */\n", ".get-type {\n", " color: green;\n", " font-weight: bold;\n", " font-family: monospace;\n", " display: block;\n", " white-space: pre-wrap;\n", "}\n", "\n", ".show-type {\n", " color: green;\n", " font-weight: bold;\n", " font-family: monospace;\n", " margin-left: 1em;\n", "}\n", "\n", ".mono {\n", " font-family: monospace;\n", " display: block;\n", "}\n", "\n", ".err-msg {\n", " color: red;\n", " font-style: italic;\n", " font-family: monospace;\n", " white-space: pre;\n", " display: block;\n", "}\n", "\n", "#unshowable {\n", " color: red;\n", " font-weight: bold;\n", "}\n", "\n", ".err-msg.in.collapse {\n", " padding-top: 0.7em;\n", "}\n", "\n", "/* Code that will get highlighted before it is highlighted */\n", ".highlight-code {\n", " white-space: pre;\n", " font-family: monospace;\n", "}\n", "\n", "/* Hlint styles */\n", ".suggestion-warning { \n", " font-weight: bold;\n", " color: rgb(200, 130, 0);\n", "}\n", ".suggestion-error { \n", " font-weight: bold;\n", " color: red;\n", "}\n", ".suggestion-name {\n", " font-weight: bold;\n", "}\n", "</style><span class='err-msg'>Not in scope: ‘feed’<br/><br/><br/>Not in scope: ‘feedEntries’<br/><br/><br/>Not in scope: ‘^..’<br/><br/><br/>Not in scope: ‘entryContent’<br/><br/><br/>Not in scope: ‘to’<br/><br/><br/>Not in scope: ‘parseXMLDoc’<br/><br/><br/>Not in scope: ‘elContent’</span>" ], "text/plain": [ "Not in scope: ‘feed’\n", "\n", "\n", "Not in scope: ‘feedEntries’\n", "\n", "\n", "Not in scope: ‘^..’\n", "\n", "\n", "Not in scope: ‘entryContent’\n", "\n", "\n", "Not in scope: ‘to’\n", "\n", "\n", "Not in scope: ‘parseXMLDoc’\n", "\n", "\n", "Not in scope: ‘elContent’" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ ":t feed ^.. feedEntries \n", " . (traverse \n", " . entryContent\n", " ._Just\n", " . _HTMLContent \n", " . to parseXMLDoc \n", " ._Just\n", " . elContent\n", " .traverse\n", " ._Elem)\n" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "infixl 1 &?\n", "(&?) :: a -> (a -> Maybe a) -> a\n", "value &? setter = case setter value of\n", " Nothing -> value\n", " Just new_value -> new_value\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "id id id id 4" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "-- [Just [Just [Nothing, Just 4]], Nothing, Just [Just [Just 5]]] ^.. deepOf (traverse._Just.traverse) _Just\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ ":info (.)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Haskell", "language": "haskell", "name": "haskell" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
HEROES-Balloon/HEROES-Telescope
heroespy/notebooks/SAS PYAS-rear Analysis.ipynb
1
401653
{ "metadata": { "name": "" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "%pylab inline" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Populating the interactive namespace from numpy and matplotlib\n" ] } ], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "import pandas\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "import sunpy\n", "import matplotlib\n", "import seaborn as sns\n", "from scipy import stats\n", "import datetime" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stderr", "text": [ "/Users/schriste/anaconda/lib/python2.7/site-packages/pytz/__init__.py:35: UserWarning: Module _imaging was already imported from /Users/schriste/anaconda/lib/python2.7/site-packages/PIL/_imaging.so, but /Users/schriste/.local/lib/python2.7/site-packages is being added to sys.path\n", " from pkg_resources import resource_stream\n" ] } ], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "sns.set_color_palette(\"deep\", desat=.6)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "import heroespy" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "file2 = \"/Users/schriste/Dropbox/Developer/HEROES/HEROES-Telescope/SAS2_pointing_data2.csv\"\n", "sas2 = pandas.read_csv(file2, parse_dates=True, index_col = 0)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "file1 = \"/Users/schriste/Dropbox/Developer/HEROES/HEROES-Telescope/SAS1_pointing_data2.csv\" \n", "sas1 = pandas.read_csv(file1, parse_dates=True, index_col = 0)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "sas1.describe()" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "WARNING: DeprecationWarning: height has been deprecated.\n", " [pandas.core.config]\n", "WARNING: DeprecationWarning: height has been deprecated.\n", " [pandas.core.config]\n" ] }, { "html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>ctl az</th>\n", " <th>ctl el</th>\n", " <th>offset r</th>\n", " <th>offset x</th>\n", " <th>offset y</th>\n", " <th>pointing x</th>\n", " <th>pointing y</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td> 103820.000000</td>\n", " <td> 103820.000000</td>\n", " <td> 103820.000000</td>\n", " <td> 103820.000000</td>\n", " <td> 103820.000000</td>\n", " <td> 103820.000000</td>\n", " <td> 103820.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td> -0.000447</td>\n", " <td> 0.000319</td>\n", " <td> 35.810597</td>\n", " <td> 13.521916</td>\n", " <td> -0.544368</td>\n", " <td> -758.352848</td>\n", " <td> 98.613347</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td> 0.013793</td>\n", " <td> 0.009559</td>\n", " <td> 55.991746</td>\n", " <td> 55.375291</td>\n", " <td> 34.174898</td>\n", " <td> 139.655021</td>\n", " <td> 37.704426</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td> -0.329208</td>\n", " <td> -0.050718</td>\n", " <td> 0.153758</td>\n", " <td> -2375.628042</td>\n", " <td> -243.421328</td>\n", " <td> -3169.628042</td>\n", " <td> -153.527507</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td> -0.008497</td>\n", " <td> -0.002484</td>\n", " <td> 17.269976</td>\n", " <td> -4.666385</td>\n", " <td> -13.800850</td>\n", " <td> -797.662825</td>\n", " <td> 87.068193</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td> -0.000177</td>\n", " <td> 0.000267</td>\n", " <td> 28.427181</td>\n", " <td> 12.031613</td>\n", " <td> -0.646516</td>\n", " <td> -780.940290</td>\n", " <td> 100.658258</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td> 0.007995</td>\n", " <td> 0.002904</td>\n", " <td> 43.739924</td>\n", " <td> 28.787960</td>\n", " <td> 12.413126</td>\n", " <td> -763.711287</td>\n", " <td> 113.737244</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td> 0.119334</td>\n", " <td> 0.940669</td>\n", " <td> 3478.211166</td>\n", " <td> 794.000000</td>\n", " <td> 2540.540163</td>\n", " <td> 123.294351</td>\n", " <td> 2642.540163</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "metadata": {}, "output_type": "pyout", "prompt_number": 7, "text": [ " ctl az ctl el offset r offset x offset y pointing x pointing y\n", "count 103820.000000 103820.000000 103820.000000 103820.000000 103820.000000 103820.000000 103820.000000\n", "mean -0.000447 0.000319 35.810597 13.521916 -0.544368 -758.352848 98.613347\n", "std 0.013793 0.009559 55.991746 55.375291 34.174898 139.655021 37.704426\n", "min -0.329208 -0.050718 0.153758 -2375.628042 -243.421328 -3169.628042 -153.527507\n", "25% -0.008497 -0.002484 17.269976 -4.666385 -13.800850 -797.662825 87.068193\n", "50% -0.000177 0.000267 28.427181 12.031613 -0.646516 -780.940290 100.658258\n", "75% 0.007995 0.002904 43.739924 28.787960 12.413126 -763.711287 113.737244\n", "max 0.119334 0.940669 3478.211166 794.000000 2540.540163 123.294351 2642.540163" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "sas2" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "WARNING: DeprecationWarning: height has been deprecated.\n", " [pandas.core.config]\n" ] }, { "html": [ "<pre>\n", "&lt;class 'pandas.core.frame.DataFrame'&gt;\n", "DatetimeIndex: 50911 entries, 2013-09-21 15:21:33.292299 to 2013-09-21 22:35:37.092514\n", "Data columns (total 7 columns):\n", "ctl az 50911 non-null values\n", "ctl el 50911 non-null values\n", "offset r 50911 non-null values\n", "offset x 50911 non-null values\n", "offset y 50911 non-null values\n", "pointing x 50911 non-null values\n", "pointing y 50911 non-null values\n", "dtypes: float64(7)\n", "</pre>" ], "metadata": {}, "output_type": "pyout", "prompt_number": 8, "text": [ "<class 'pandas.core.frame.DataFrame'>\n", "DatetimeIndex: 50911 entries, 2013-09-21 15:21:33.292299 to 2013-09-21 22:35:37.092514\n", "Data columns (total 7 columns):\n", "ctl az 50911 non-null values\n", "ctl el 50911 non-null values\n", "offset r 50911 non-null values\n", "offset x 50911 non-null values\n", "offset y 50911 non-null values\n", "pointing x 50911 non-null values\n", "pointing y 50911 non-null values\n", "dtypes: float64(7)" ] } ], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "sas2.describe()" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "WARNING: DeprecationWarning: height has been deprecated.\n", " [pandas.core.config]\n", "WARNING: DeprecationWarning: height has been deprecated.\n", " [pandas.core.config]\n" ] }, { "html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>ctl az</th>\n", " <th>ctl el</th>\n", " <th>offset r</th>\n", " <th>offset x</th>\n", " <th>offset y</th>\n", " <th>pointing x</th>\n", " <th>pointing y</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td> 50911.000000</td>\n", " <td> 50911.000000</td>\n", " <td> 50911.000000</td>\n", " <td> 50911.000000</td>\n", " <td> 50911.000000</td>\n", " <td> 50911.000000</td>\n", " <td> 50911.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td> -0.067560</td>\n", " <td> -0.046707</td>\n", " <td> 263.531955</td>\n", " <td> -173.263034</td>\n", " <td> -94.142331</td>\n", " <td> -823.157438</td>\n", " <td> -10.654637</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td> 0.138514</td>\n", " <td> 0.020018</td>\n", " <td> 251.077144</td>\n", " <td> 299.318654</td>\n", " <td> 63.363317</td>\n", " <td> 149.533268</td>\n", " <td> 59.237476</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td> -0.456153</td>\n", " <td> -0.288746</td>\n", " <td> 18.272917</td>\n", " <td> -2725.035879</td>\n", " <td> -263.406967</td>\n", " <td> -3519.035879</td>\n", " <td> -173.245186</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td> -0.026046</td>\n", " <td> -0.048349</td>\n", " <td> 148.462911</td>\n", " <td> -149.119037</td>\n", " <td> -141.848018</td>\n", " <td> -920.520148</td>\n", " <td> -48.239203</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td> -0.011888</td>\n", " <td> -0.044440</td>\n", " <td> 160.986738</td>\n", " <td> -113.102894</td>\n", " <td> -104.589028</td>\n", " <td> -849.191896</td>\n", " <td> -26.665613</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td> -0.001577</td>\n", " <td> -0.040336</td>\n", " <td> 178.283180</td>\n", " <td> 22.482410</td>\n", " <td> -49.807323</td>\n", " <td> -763.097341</td>\n", " <td> 18.339585</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td> 0.257438</td>\n", " <td> 1.037392</td>\n", " <td> 3872.350982</td>\n", " <td> 979.341288</td>\n", " <td> 2751.232740</td>\n", " <td> 185.341288</td>\n", " <td> 2853.232740</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "metadata": {}, "output_type": "pyout", "prompt_number": 9, "text": [ " ctl az ctl el offset r offset x offset y pointing x pointing y\n", "count 50911.000000 50911.000000 50911.000000 50911.000000 50911.000000 50911.000000 50911.000000\n", "mean -0.067560 -0.046707 263.531955 -173.263034 -94.142331 -823.157438 -10.654637\n", "std 0.138514 0.020018 251.077144 299.318654 63.363317 149.533268 59.237476\n", "min -0.456153 -0.288746 18.272917 -2725.035879 -263.406967 -3519.035879 -173.245186\n", "25% -0.026046 -0.048349 148.462911 -149.119037 -141.848018 -920.520148 -48.239203\n", "50% -0.011888 -0.044440 160.986738 -113.102894 -104.589028 -849.191896 -26.665613\n", "75% -0.001577 -0.040336 178.283180 22.482410 -49.807323 -763.097341 18.339585\n", "max 0.257438 1.037392 3872.350982 979.341288 2751.232740 185.341288 2853.232740" ] } ], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [ "sas2['ctl az'].index[0]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 10, "text": [ "Timestamp('2013-09-21 15:21:33.292299', tz=None)" ] } ], "prompt_number": 10 }, { "cell_type": "code", "collapsed": false, "input": [ "from heroespy.util import times" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 11 }, { "cell_type": "code", "collapsed": false, "input": [ "times.solarobs_target2" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 12, "text": [ "(datetime.datetime(2013, 9, 21, 15, 35),\n", " datetime.datetime(2013, 9, 21, 22, 33))" ] } ], "prompt_number": 12 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Considering only the second solar target (the AR)" ] }, { "cell_type": "code", "collapsed": false, "input": [ "ind = sas1['ctl el'].index\n", "time_index=ind.indexer_between_time(times.solarobs_target2[0],times.sas_pyasr_wrongtarget[0])\n", "sas1_obs = sas1.iloc[time_index]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 13 }, { "cell_type": "code", "collapsed": false, "input": [ "ind = sas2['ctl el'].index\n", "time_index=ind.indexer_between_time(times.solarobs_target2[0],times.sas_pyasr_wrongtarget[0])\n", "sas2_obs = sas2.iloc[time_index]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 14 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Create a sub dateFrame with just the times above" ] }, { "cell_type": "code", "collapsed": false, "input": [ "sas2_obs.plot()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 15, "text": [ "<matplotlib.axes.AxesSubplot at 0x10a70ed90>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAe8AAAFJCAYAAACyzKU+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x10a70ea90>" ] } ], "prompt_number": 15 }, { "cell_type": "code", "collapsed": false, "input": [ "sas1_obs.plot()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 16, "text": [ "<matplotlib.axes.AxesSubplot at 0x109788910>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAe8AAAFJCAYAAACyzKU+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x10ad8bc50>" ] } ], "prompt_number": 16 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Create new dataframes with just the AR observation" ] }, { "cell_type": "code", "collapsed": false, "input": [ "sas1_obs['ctl el'].plot()\n", "sas2_obs['ctl el'].plot()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 17, "text": [ "<matplotlib.axes.AxesSubplot at 0x10b1d2950>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAewAAAFJCAYAAABZ+x49AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x10b1db9d0>" ] } ], "prompt_number": 17 }, { "cell_type": "code", "collapsed": false, "input": [ "sas1_obs['ctl az'].plot()\n", "sas2_obs['ctl az'].plot()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 18, "text": [ "<matplotlib.axes.AxesSubplot at 0x10b4d8d10>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAewAAAFJCAYAAABZ+x49AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x109a7bf50>" ] } ], "prompt_number": 18 }, { "cell_type": "code", "collapsed": false, "input": [ "y1 = sas1_obs['ctl el'].resample('60s', how=np.mean) * 60 - 2.3\n", "y2 = sas2_obs['ctl el'].resample('60s', how=np.mean) * 60\n", "diff = y1 - y2" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 19 }, { "cell_type": "code", "collapsed": false, "input": [ "ax = diff.plot()\n", "ax.set_ylabel('PYASF-PYASR Elevation [arcmin]')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 20, "text": [ "<matplotlib.text.Text at 0x10c876b10>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAfUAAAFVCAYAAAD2VHb/AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3Xd4nPWZ6P3vM6Myo2mSRtWqluUuyzY27jYW1dRgEkMg\njSwYSAiQs86e92TfTTbh5Jyw2bwhuykcEkoSDjgJzYvpBhuMLXfj3iVZvY80RSNpNDPP+8dIYw+S\nrD5q9+e6uJCees/o8dzz64qqqipCCCGEGPc0ox2AEEIIIYaHJHUhhBBigpCkLoQQQkwQktSFEEKI\nCUKSuhBCCDFBSFIXQgghJoiwJ3W/388jjzzCihUrKCgooKioKLivtraWgoKC4H9xcXH84Q9/CHeI\nQgghxLgUEe4bbtmyBY/HQ2FhIfv27WPTpk1s2bIFgOTkZHbs2AHAnj17+NGPfsTGjRvDHaIQQggx\nLoU9qe/evZt169YBsHTpUg4ePNjtGFVVefzxx3nllVdQFCXcIQohhBDjUtir3x0OB2azOfi7VqvF\n7/eHHLN161by8vKYPn16uMMTQgghxq2wl9TNZjNOpzP4u9/vR6MJ/W7x8ssv8/3vf79f1/P7Vbxe\n37DGONZERmppbm7GYDCNdihjRkRE4D0xGuU9uZw8K93Js9KdPCc9Gw/PSkSEFo2m9xrssCf1lStX\nsnXrVjZs2MDevXvJz8/vdszBgwdZvnx5v67n9fqw21uHO8wxxWo18utf/5rvfe8Hox3KmGGx6Hn6\n6ad5/PF/Gu1QxhR5VrqTZ6U7eU56Nh6eFYtFT1RU76k77El9/fr1bNu2jZUrVwLw4osvsnnzZlwu\nFxs3bqS+vh6LxRLusMa8a65ZO9ohjDlr1lwz2iGMSfKsdCfPSnfynPRsvD8rynhfpc3j8U6KkjpA\nY6NrlCMZOywWPcCE/9sPlDwr3cmz0p08Jz0bD89KXyV1mXxGCCGEmCAkqQshhBAThCR1IYQQYoKQ\npC6EEEJMEJLUhRBCiAlCkroQQggxQUhSF0IIISYISepCCCHEBCFJXQghhJggJKkLIYQQE4QkdSGE\nEGKCkKQuhBBCTBCS1IUQQogJQpK6EEIIMUFIUhdCCCEmCEnqQgghgpodbrbtOYmqqoM6v97m5Od/\nfJutnxyhxd0+zNGJvkhSF0IIEXTsfDnbCk/icLUO6vxPDpyhtb2DfceKeer5d3jn06M0NruGOUrR\nm4jRDkAIIcTY0dgUSMD1TU4sppgBnWt3ujl8qpQbV87l6ryp7Nh/hn3Hi/n04FlWL5rB7WsXjETI\n4jJSUhdCiDFMVVVOFVXh9frCcr9GewsQSOq98fv9HDp5kTZPR8j2zw6dIzJCy7L50zDG6Lh97QL+\n5eHbWTw3mwMnSgZdpS/6T5K6EEKMYVV1zfxpyy4+3nc6LPfrqipvaOq9ynz/8RL+9v5+3v/seHCb\nu83D3mPFLJufgz46Krg9KjKCGdkptLV34G7zjFzgApCkLoQQY1pJZT0Anx44g80+sm3TPr+fJkdn\nSd3Wc0m9td3DB7tPYIzRsedoEdX1zaiqynufHcPn97PqqhndzrHGGgGkbT0MJKkLIcQYVlLRwJTE\nWPS6KN7+9OiQrlVa1cCuw+eobXT0uL/Z4cbvV0mMM/Va/b5j3xnaO7x896sFxFsM/NeOz3l/13H2\nHSvmjoIFmI36bud0JfUGSeojTpK6EEKMUaqqUlLZwIzsFG5Zk8+J85WcL60d1LVa2zz85a1C3tpx\nhH/+/17jZ79/i7b20DbxrpL0rJxUmuwteH2h7fg2u4vPDp/jmsUzSIgzcfvaBRSX17Nj/xluWZ3P\n8vm5Pd47RhdFjC5KSuphIEldCCHGqIZmFy53G9lpCSycnUVmqpV3Pj2K/wodzo6fr+C9z47h9/tD\ntn+w+wTtHi+b7r+Jh+5ZS2lVIwdOlIQc09jsQlEUZmQl41dVbM0tIft3HT5PdGQEa6+eBcDsnFRW\nLMjlltX5rF0y64qvxRprlKQeBpLUhRBijLpY2YACZKcloFEUbl2TT1V9M0fOlPV4fHmNjc3v7GXH\n/jO88dGhYG/zsupG9hy5wA0r5pJstbB0fg5X501l1+Hz+C5L/o3NLuLMMSQnWIDQHvA+v58jZ8pZ\nODuT6KhIABRF4c7rruozoUNnUr9C5zsxPGScuhBCjFElFfUkJ1iI0QV6k09NT2R2zhQ+2HWC/Onp\nRERog8e2uNt56a1Ckq0Wrp43lS0fH8bvV4nRR3PsbDkpibGsump68PgbV+Wx71gxJy9Ukj8jAwgk\ndavFiNmoJzJCG5LUz5fW4nK3cdWc7EG9loRYIxfKBtd0IPov7CV1v9/PI488wooVKygoKKCoqChk\n/4EDB1izZg2rV6/mq1/9Kh6PDIEQQkxOJZUNTE1LCNl28+p5NDvd7D0W+tn5t/f34+nw8o07VrBi\nQS53FCzg0KlSjpwpIyM1nvtuXYpWc+kjPzs9galpCXx28FxwW6O9BWucEY2ikBBnouGypH74VCmJ\ncSbSk+MG9VqssUZc7vZu7fhieIU9qW/ZsgWPx0NhYSFPPfUUmzZtCu5TVZWHHnqIP/3pT3z22Wdc\nd911lJSUXOFqQggxMTlbWmlsdpGdlhiyPSXBwqI5WXy051Rw3HdReR1nSqpZf/0i4i0GAFZdNYOf\nPbae//eh2/jG7StItlq63WPN4pmUVjdSWtWAqqqdJfXA+YEe8IHq8jZPBycvVHLVnCwURRnU6xnN\nYW1t7R38/f39uNxtYb93uIU9qe/evZt169YBsHTpUg4ePBjcd+7cOaxWK7/61a9Yu3Ytzc3NzJw5\nM9whCiHEqDtfVgfQraQOcNPKPDq8Pj7acxKAbXtOkpJgYd6M9JDjIiMjrpiEZ+ekkhBnYvu+0zha\n2ujw+oLJNzHeGByrfuJ8JR1eHwtnZw369STEdSb1ER5r3xO7y82Zkmp+t3n7hO+sF/Y2dYfDgdls\nDv6u1Wrx+/1oNBoaGhooLCzkd7/7HdOmTeO2225j8eLFFBQU9Hq9iAgtFkv3cZETSde/yYn+Ogei\nqy1R3pNQ8qx0Nx6flYuVDWz5+DAzp6aQlWHttt9i0XPLNfls3XGErPQEisvrefietcTF9m+u9q7n\nJC7OwG1r8/nTm7u5UB5o787OSMBi0ZOZZuXjvaexOV18sv80uVlJTM3s/gWjv8xmHdFREbS0tof9\nb2Gx6PkfD93Cr/+8jWf+tp1//PZNpCbGdjtuPDwrl/ej6EnYS+pmsxmn81I7TVdCB7BareTm5jJz\n5kwiIiJYt25dSEleCCEmuvJqG//x522kJFj4zr29F2iuXzGHOHMML7+1h7TkOBbMzhzU/Zbk55AQ\nZ2TLR4eBSyXqZGug8PWL595DURS+fsfyQV2/i6IoJMWbqetlprqRlhhv5v/ZeAuKorBj35lRiSEc\nwl5SX7lyJVu3bmXDhg3s3buX/Pz84L6cnBxcLhdFRUVMmzaNzz77jAcffPCK1/N6fdjtg1sicLyw\nWgP/yCb66xyIrm/S8p6Ekmelu/H0rPhVlT/87VNiTTHcf+cqPO0+PO29x33z6nz+79Y9XLt0Nk5n\n/9uLv/icXLN4Jq9vO4TJoKOt1Utbq5eYqGh0UZHMyE7mKzddjS4qcsjvYaw5hur65lH9W0xJjKWm\nzt5jDOPhWbFY9ERF9Z66w57U169fz7Zt21i5ciUAL774Ips3b8blcrFx40aef/557rvvPlRVZeXK\nldx8883hDlEIIUbF+dJa6mwOHr57bXAY25Xkz8jghxvjiTMbhnTfRXOz+XjvaeLMl6rvddGR/OiR\n24mI0A66c9wXWS1Gyqoah+VagxVvMXDu4sQdWhf2pK4oCs8880zIthkzLi0AUFBQwL59+8IdlhBC\njLpdh8+RmmghJz2x74M7DTWhA0RotXzzSyv44kR1kZHDmyKssUbsrlY+3nuKitomrlk8k+weOgKO\npHiLEZujGL+qohmmLytjiUw+I4QQw+g3L39EbaODyAgtC2dnckfBwn6dV2dzcLakhq/cuHjYSsYD\nkZ4cP+L3SE0MDKvbsf8MPr+fxDjjKCR1Az6fH6erFYupfx0LxxOZJlYIIYZJm6eD8hobc3OnMCUx\nlqNny/t9buHnF4jRRbFw1uA6vI0HmalW/seDt/DTR+8kIyWeZmf42667xvHb7C19HDk+SVIXQohh\n0uxwA7AsfxqL8rJxtrThbm3v87zzpbUcOFHCsvnThr3Ke6yJtxjRajVYjHrsrsEndZe7LTj5zsDu\nL0ldCCFEP3QlijizgZTOGdx6W7scAoukvPfZMZ577VMyU62sWTx5JtuymGKwO92DPv9v7+/ntQ8O\nDPi86KhIDProUZkEJxwm9ldCIYQIoyZHCxqNgtmowxATjUZRqGmwM7WXjm+fnyplx/4z3LhiLtcu\nnR2cs2MyiDUFSuqqqg6qD0GT3Y3D1YrP7w+Z0x7gVFEVZqO+13nq4y0GmqSkLoQQ4kqa7C3EmmLQ\naDRERmixxhqvWFIvqWwgJcHC9cvnTqqEDmAxxuDz+WnpR/NET1zuNto8HVTVNXfbt/WTIxw4Xtzr\nufEWA42S1IUQQlxJk8MdbLOFwOIrNQ32Xo8vr7GRkTLyvc7HIrOxc6KXQXSW8/n8lxaz6Zwjv4vd\nFVgIp7faEQgMrZOSuhBCiCuyOVpCxo0nJ5ipabCjfnEAOODp8FLb6Ji0Sd1i6kzqg+gs11W612o0\nFJWHJvWSinqg54VwusSZDdhdrXR4fbS423njo0MTZklYSepCCDFMmuwtxF42K1uK1YK7zYPL3b2K\nubK2CVVVSZ+kSd1k0KEoyqA6y3UtoTojO4WSygZ8Pn9w38XKBuIthiuOQbfGBr54Ndlb2HusiL1H\ni7qtTz9eSVIXQohh0ObpwN3mIT6kpN7VA757FXxZjY0IrYbUhO7rnE8GWo0Gs0E3qJJ615ek+bMy\n8HR4qai1BfeVVNZfseodLg1ra7S72H+8BI2isPvwebxe34BjGWskqQshRA/2HSvi472nqKpr7rH6\n/Iu6xqjHXdamnhBrRKvRUNNgx+/3s33f6eB63hU1NtKS4tBqJ+/HsMU0uLHqzs6S+uypqURFRgSr\n4N1tHmrq7Veseg/cNwaNorD/eAlNjhbuKFiA3dXKwZMXBxzLWDN5nyYhhOhFk6OFNz8+zLbCk/z6\npQ959tVPekzse45c4OW39wCXj1G/VO2r1WpIjDdR2+hg58FzvL/rOP+1/XMg0Elusla9d7EYBzdW\n3eVuJzJCi14XxdS0BC50dpa7WNmACn2W1LUaDbHmGE5eqCQp3sTyBblMz0pm2+6T/foCN5ZJUhdC\niC/47NA5oiIj+NEjd3DXDYsoLq+nuLMD1uWOn6/g6Nly7K7Wy8ao60OOSUmwcLakhg92nyAtOY4z\nJdWcOF+Jzd5CRuokT+om/aB6v7ta2jDG6ADIzUqmpKKBkop6LlbWY4zRkRBr7PMaXVXwV8/LQVEU\n1iyeSUVNE6cuVA04nrFEkroQQlzG3drO/uMlLJ8/DUNMNEvm5RBvMbDvWOi4Z1VVqaxtAuB0UVVw\njPoXJ0JJtpppdrpJjDfxnXsKSEmw8OqHgZnQJmvP9y5dU8UOtHTsam3HGBMNwPL508icYuXFN3dx\n7FwFOekJ/ZrMJt4SaBpZNCcLgBlZyUzLTOKF1z+j3uYc+IsZIySpCyHEZfYcLcLn97PqqukAaBSF\npfk5HD9fQctlvdht9hZa2zuIjNBysqiSJoe7x2VQs6YkEBUZwVdvXkpUZAQ3rcyjtc2DPjqyXyXK\nicxiisHT4aWtvQOv18fp4p5LyS2t7Xx+uiz4u8t9qaQeFRnBt+9cRUKcEZu9halp/Vu2duXCXO5e\ntyR4HUVR+O69BRhionnu9U+HNIXtaJKkLoSYFCprm/jTll04W9p6PabD62P35+dZNCcbk+FSNfrV\neVNBhYOnLga3VXSW0pcvyOVCWR01DfaQ9vQuuZlJ/PTRO5mSFAvAnGlTyEy1kp3WvxLlRGYxXhqr\nXnjkAi++uYv6ptBSsqqq/P39/Wx+d2/wb+dquVRSB9BFR/LAl9ew6qrpzJ+V0a97pybGsnB26Ip4\nRoOOJ755A36/yub39g3lpY0aSepCiEnh89OlnCqq4rnXP8Xd5sHr83H4dCnlNZeGQx0/X4HL3c7q\nRTNCzjXG6Jibm8a+Y8XBquKKGhsWo55l+Tn4fH7qm5whPd8vd3kPd0VRePAra7j3lmUj8CrHl66x\n5M1ON/s7p3Wtrg+d9vXQqVJOF1cDgTXnIVBSNxl0IccZ9NHcUbAwWPIeLGuskZtW5lFcXk9zD6X1\nOpujW4xjiSR1ISY5VVWpqmsa971++1Ja1Rhcw/vZv+/g3194j7++u49X3tmLzx+YvGT/sWKmpiWQ\nbDV3O3/Z/BwampwUlQc6zFXWNZGWHEdCnCl4fHwP1e890UVFoouOHKZXNn6ZjYEEfPRsOXU2JwpQ\nXX9pTH+z081bOz5n3vR0FEWhzuZAVdWQNvWRMGfaFDQahRPnK7rte2PbIV7aumfM/nuRpC7EJFdR\n28SvX9rGZ4fPjXYoI6bD66Oiron5szJ44K7VOFxtZKTEc8+6JTQ2uzh6ppx6m5PiinqW5Of0eI1p\nGUlYY43sO1aEqqpU1DYFVwGbM20KQK8lddGzCK0WY4yOw6dKiTMbyMlIouayUvAHu44TodVy1w2L\niLcYqLc5aWvvwOfzD7lEfiUx+mhyM5M5fi40qXd4fZRVN9LQ5Az58jGWSFIXYpLrqtJ8d+cxLlY2\njHI0I6O82obP5ycrNYHMVCv/+t0v8fXbV7BobjYzs1PYvu8U+44VoY+OJH96eo/XUDo7zJ04X0lp\nVSNt7R2kJQd6r181J4tkq5mUHkr44sosJj2qqrJk3lSmJMVS1ZksVVXl7MVarpqThUEfTVK8mbpG\nR3DimZEsqQPMm57OxcoGHJdNjlNW3YjX50ejKBw9W3aFs0ePJHUhJjmbvQW9LoqMlHhefntPcF7t\niaSorI4IrYa0zs5ql7tu2RzqbE52HT7PwtlZREZG9HqdxXOzQYG3dgQmkOkqqSdbLWy6fx0x+pFN\nNBNRrFGPRlFYnDeV1AQLTY4WWts91Dc5cbnbyOmcSCYp3kSdzRkcgTCSJXWAvNw0FEXhxIXK4Lai\nsjr00ZEsmJ3JsXMVY7IKXpK6EJOczd5CQqyRr922nNb2DgqPXBjW6zc73KP+4VdcUU9achwREdpu\n+7LTEpiWkYRfVVnaS9V7F2OMjrzcNCpqm7AY9d06a4mBy5uezjVXz8Ri1JOSGPjSVdvgoKSiHoVL\nq60ldY7371oHfaRL6oaYaHIyEkOq4IsqAvPKL5iVSWOzi8oe1nIfbZLUhZjkbM0u4i0GYk0xgYlS\nHMM3PrfF3c6/Pf8uZ0pqhu2aA6WqKsVldWRN6X0+8DsKFrBu1TxSE7uX5L9o2fxpAJN+itfhsmhu\nNjevzgcCE/VoFIXq+maKK+pJTYpFr4sCAiV1CCytqigKMZ3bR9K8GekUV9TT2Oyio8NLWXUjORmJ\nTM9MRq+L4tgYrIKXpC7EJGeztwSnzDQbB7fARm/qbA58fj/1ne324XTuYg0udxtN9haana1kTbH2\nemxqYizXLp3dr+vmpCeSm5nEnJzU4QpVdIqM0JIYb6Kqvpni8vpg1TtAYnygv0JxRT0GfTQazcin\nr4WzszAZdPzXjs8prW7E5/MzLT0JrVZDXm4aR8+OvSr43huPhBAT0msfHiB7SgKL86bS4fXhcLUG\nk7rFqA+ueDUcGjonEulpvO9Iamhy8tzrO4k1xbB8YaBknZXae1IfCEVReGjD2mG5luguJcHCmeJq\n7K5WctKTgttjdFEYY3Q0NrtICdNytbqoSO5Yu4D/+/YeWtzt6HVRpHb2y1g0N5sDJ0o4XVwdHP0w\nFkhJXYhJxOVu48DxEo6cCVQbNjlaUAnMgw3DX1Jv6FxmtGkYq/T74+jZ8uAqXu/tPI411thtoRUx\nNk1JjA0+g1PTQ5tMkqyBKnjTCHeSu9y8GenMyE6hvMZGTloCms5ZAKemBUZSbN93ekyV1sOe1P1+\nP4888ggrVqygoKCAoqKikP1PP/00eXl5FBQUUFBQwLlzE3fsrBDhdqakBpXA2HRVVYPLhVpjL5XU\n29o78HR4h+V+XVN+Dmc7fX8cPVvOnGlT+O5XC1i+cBqrFk0P6/3F4HV1lktJsGD4wmiCpM4qeMMI\nd5K7nKIorL/uKiIjtEzPTgnZfu3S2ZRVN/a4gt9AfLj7BGdLqocaKjAK1e9btmzB4/FQWFjIvn37\n2LRpE1u2bAnuP3z4MC+99BILFy4Md2hCTHini6vQajW42zzY7C3Y7C1oFCU4XWdXadbubCWxs2PS\nQPh8gZnZuqZFbWgKlNTDWf1e02CnpsHOjSvyiI6K5P71qwCw24evBkKMnNTEQNV6Tg9rond1lgv3\nqANrrJH/8eCtGPShnfNm5aSSkmBh+77TWIx6DpwoITUxlgWzMnu5UncdXh87Dpxh0ZwsZk4dej+N\nsJfUd+/ezbp16wBYunQpBw8eDNl/6NAh/vf//t+sXr2ap556KtzhCTFheX0+zl2sYUneVADKa2zY\nml3Emi8tF3ppgY3BJeG/vrePv72/HwC/qgbbP1ta24et9N+Xo2fL0EVFMnNqSt8HizHHYtSzeG42\ni+Zmd9vXVVI3jsJ8ACaDrlvnPI2iULBkNudLa/nFC+/xyYGzvPrBgQHVTFXWNuHz+XG4hmd+iLCX\n1B0OB2bzpVmXtFotfr8/+Gbde++9PProo5hMJtavX88777zDrbfe2uv1IiK0WCwTu62sayGnif46\nB6JrvLG8J5e0tXfwb394h3tuWdLjcKvTRVW0e7xcu3w2Zy7WUNfkwOFuI8lqDr6P0brAR0KHzzfg\n91ZVVYor6vH7VUwmHXanmw6vjzm5U6hpsOPDPyJ/rw6vj2Nny2lr72D+zAyOnatg4ZxMEqyBfgLy\nrHQ31j9TNt5zTY/bp2UFSu+JCaYRiX0wz8rqq6fT0OwgNSmWublp/OyZrXxQeJyH7lnbr/PrTgRG\nhrS0tvfrvj3NtRCyv193HUZmsxmn89LSepcndIAnnngimPRvvfVWPv/88ysmdSFEwPFzFZy7WMtf\n393Ppm/f1G1Zz2NnK4g16clIjSc7zcrFygba2jvITrvUGUkXHVhoZDBt4E32luDSmDUNdpydnZ1m\n5aSyfe9pbCPQa/m9ncfZVngyOMuYoiioqspXb106rPcRY0N8rJFvrV85oOrtkabVavjKuquDv991\n4yL+/OZuThdVMbsfveK7RpsMtnbsi8Ke1FeuXMnWrVvZsGEDe/fuJT8/P7jPbreTn5/PqVOniImJ\nYfv27TzwwANXvJ7X65vwbWXWzhLHRH+dA9H1jVbek0v2Hy1BFx3J+Yu1HDhaEtI+p6oqR06XMXNq\nKg5HGynxFk6cq0SjUcjLTQ95H80GPbUNjgG/t6cuXOroc/xMYBYuBUiJDyTyiupm0hK71yB4Orxs\nfncfSfEm1q2a1+81xhubXWz56DCL5mRxzdWziNFFceJ8BQ3NLqYkxAbjl2elu/H8mTI3J40Ojw+7\nZ/hjH45nZfbUKWSlWvnTG7u5YcVc5s/MIKqXqYdVVeVCaR0xuiicrjZstpaQZXp7izEqqvfUHfak\nvn79erZt28bKlSsBePHFF9m8eTMul4uNGzfy1FNPUVBQQHR0NNdff32w/V0I0bsOr48zJdWsW53H\nyQtVvLfrONOzU6isbeLz04H1qG32FubmpgGB2dA6vD6A4Bj1LhajPmQRi/6qqLFhjNFhNui4WNmA\nMSaaWLMBXXQkJoOux85yXp+Pl94qpKi8jpMXKnG3eVh//aLgsKErOXq2jMgILXdedxXRUYFlTFcs\nlF7uYnRpFIW71y3hzY8P8eoHB9i64wgP3b02uE7A5ZqdbpwtbSyem83BkxdxutuI7ey0OlhhT+qK\novDMM8+EbJsxY0bw53vvvZd777033GEJMW44XK2YDLqQEu350lo8HV4W5WUzMyeVf3/uPf6/F9+n\nvsmJyaBjdk4qdxQsYFZn6f3yDxhrrDHk+maTnrrGgc8AV1HTRHpKHFaLgVNF1aQmWkiIC1w71hTT\nLamrqspf393HhfI6vn3nKpocLbyx7RBer48v37iYCO2V2w6PnClnds6UYEIXYqxIjDfx0Ia1NDa7\neO71nWzfe4pvfmllt+MuVjYCgbHwB09exNkygkm9oKCgz5MVRWH79u1DCkAI0X8tre089fy73Lh8\nLmuXzApuP3G+AmuskbTkOBRF4ao5WThcraxbPY8506YEe7d30euiSIgz0dDk7LGkfqG0dkBxBdYX\nt7HqqhkkW83s/vwC7Z5AxzWAWHNMt3b66no7x85VcPe6JczoHP8bGRHB3z/YT7OzlW/csQJ9dCTN\nTjdNDjcOVysJcUbSk+NDhq0JMVZZY42sWTSDLR8fpqHJSUJc6DDRsuoG4i0GpiQFvmQPpobsi3pN\n6hUVFTz33HNXnCln48aNQw5ACNF/Z0qq8Xp9bN9/miXzphKjj8bn93OqqIqr86YGS+9fvbnvjmIZ\nKXE4W1q7LYxhNupxtrR168R6JTZ7C63tHaSnxAU/oNxtnuBY9zhTDJW1TSHn1HbWBsy+bA71q+Zk\nYTHp+ctbhfzqzx/g8/lpaW0P7tdoFL595youVjXIsDUxLiyam80Hu0+w6/B57rzuKg6evMiZ4mqu\nXz6H0qpGMlOtGGOiURQFR8sIJvWf/exnXHNNz8MKLj9GCBE+p4uqsMYacbha2b7/DLddM5/TRVW4\n2zzkTU8f0LVWLpxOZqq1W8c0i1GPX1VxutuD49b7Ul5jAwLV+iaDnniLAZu9BWtsIKnHmmOwO1vx\nq2qwvbze5sCgj+42a9i0jCS+d+91bN8fmNAjPTmehDgjBn00r354gL+8VUh0VCR509OI7GN4jxCj\nLSoyguULprHz4DkitBp2HjqHvrNTp0og6Ws1Gowx0cMyVr3XpH7PPfcAcPHiRX77299is9mCpXZF\nUXjhhRcFzxnbAAAgAElEQVSCxwghRsaHhSdoaHJx363LOiePqWXVVdNRUfn0wFkiIzTs2H+GqemJ\nZKQObCnQzFQrmT0sctI1q5zD1drvpF5RY+tcXzxw/NT0xMA67cE2dQM+vx9XS1vw+nU2Z3CGsC9K\njDdxz7ol3bZ//bblPP/6TkoqG5g/hoY1CXElKxbk8smBs+w8dI51q/JYvWgmuw6d48DJEmZ2Nj2Z\nDYProPpFfXaUu/vuu1mzZg1r1qwJbuvvkBMhxNAUV9RTXF7P8vnT8Pr8tHk6mD1tColxJvYcKeLj\nvadZvWgGN6+e168e4/0RnFXO6Sajn2uGl9c2hRw7e2oqZ0uqiTcH2utjzYHOP81O92VJ3dHjl4or\niYqM4P71qzhTXM30rOQBnSvEaDEZ9HzlhsVERUUwr7NGrWDpbAouW+7XbNQF53kYij6Tutfr5Ze/\n/OWQbySEGDi7M/DN/f1dx0lLjsNk0JGWHIdGUfjWl1bi9fmHPbkZY6LRKEq/V2vz+/1U1jZx7dJL\nHffmzUgnb3pasE0+rrNHb5PDTWaqFb/fT0OTk8V52QOOTx8dxcLZWQM+T4jR1NO0t5czG/WUV9uG\nfJ8+e8GsWrWKt956C4/HM+SbCSG6+/TAGf746qe0ezpCtquq2rmmdCIllQ3sP17C7Jwpl5Z+TE8c\nkdKqRqPBZNDhcLXS1t7BgRMlnC+txeXuuRRR2+jA0+ElI+VSqVtRlJBOdjH6KCIjtDQ7AqvCNTnc\neH3+4FzeQkx2ZoN+ZDvKdXn11Vf57W9/G7JNURR8Pt+Qby7EZOdXVXYdPo/d1cor7+zlm19aGRx+\n5m7z4PX6WDZ/Gh1eH+U1Nub0Y9rJ4WAx6amsbeKZv+2gur45uP3LNyxiaf60kGMvVjWgKAqZV2jT\nVxSFOHNMcF31Olug57skdSECTEYdLe52fD5/n7PKXUmfZ1ZXV+P3+0P+k4QuxOBU1Nr41Z8/CCa1\n0soG7K5WCpbM4kxJDW9t/zx4bFfVe6wphtuumU9WqpXczKSwxGk26jlXWktLaztPfP0GNt2/jrzp\naby/60S3GoXSykZSEy19TgKTkhBLSWVg3ek6m5PICG2wrV2Iyc5s0KMCzl5qxPqr15L6s88+y8MP\nP8xPf/rTkI5xqqqiKAo//vGPh3RjISYbv9/PG9sOUdNgZ/u+03z15qUcPVuO2ajnplXzMOijefvT\no6xZPBNrrDG4wIPFpCfObODR+64LW6w56Yk4XW3cd9sy4jo7u912zQL+/YX32HX4PNctmxM89mJV\nQ3CmuivJn5nO/926h7pGB3WNDhLjTMPWuU+I8e7yUSdDmVWuz5K6qqqoqhospXf9LoQYmH3Hi6mo\nbWL+zAyOnC6j3ubk2Lly8meko1EU5s0I9IrtmpSl2elGURTMhvAvj7nqqhk8et91wYQOgTnil+bn\n8OnBs7jbAn1snC2t2OwtISu99WbW1FSiIiM4eq6cepszODGNEALMBh3AkHvA91pSf/jhhwH4yU9+\nMqQbCDFZdXh9HD1TRofXR5zFwPu7TrBoThbrr1/EhbI6XtpaiMvdzvyZgfHWFlMMkRFa6psCSxPb\nnYE53ofSvjbcrl06mwMnSvj0wBluXp3PxarA3NVZU/oemhYVGcHsnFSOnS3H2dImQ9KEuExwVrkh\njlXvs6Pcr3/9a5588kmamy91lpGOckL0TlVVdn9+nh37z+BqaYPONb510ZHcsmY+UZERrFk8g/c+\nO06cOSbYwUyjKCTEGWnoSuoDmPwlXMxGPauums5nh86xZF4OFysbsBj1ISX6K5k/M4OjZ8sBSLJK\nJzkhugRHnQyxB3yfSf3pp5/myJEjZGbK7E1C9EdxRT1v7TjCwtmZXLd0DvEWA412F5ERWkydVWzL\n5+ey8+A5Fs7OCumzkhBnot7WVVJ3YzGNraQOgdL64VOlvLXjCC2t7WRN6bvqvcvMzip4T4e319nk\nhJisAkNJR6j6vcucOXNISgpPj1shJoJTRVUYY3Tcc/PSYEewZKsl5BhddCQ/uH8d0dGh/wQT40xc\nrGwAAtXvKQmh540F0VGR3HrNfF55Zy8KsGBWRr/PjYzQMjd3CkdOl3VbsUqIyW44xqr3mdSfeOIJ\n5s2bx7Jly4iICBzeNfe7ECKUqqqcvFDJnGmpffbsNsREd9uWGGfC2dJGa7uHZqd7zFW/d5k/M4O9\nR4sorqjvVye5y123dA6ZKVZZjEWILzAbdZQNcVa5PpP6Y489xje+8Y2Q6neZ+12IntU2OrDZW5gz\nLW1Q53f1CC+vttHh9WEZwtCWkaQoCl++YTG7Pz9PamLsgM5NspqlPV2IHmSlWoc8uqzPpK7X62VM\nuhD9dKqoksgILdMHOUlMV5X0hbI6gCGNVx1pifEm7rzuqtEOQ4gJY3HeVBbnTR3SNfpM6tdffz2b\nNm3i5ptvJioqKrj98lXbhBABp4qqmJGdQmRkn/+0ehSji8Kgj+ZCWS3AmOwoJ4QYu/r85Dl8+DCK\nonD48OGQ7Tt27BixoIQYj5wtrZRV29hw09VDuk5ivInSqkYUCPaWF0KI/ugzqX/yySfU1taSnJxM\nS0sLVVVVTJ8+PRyxCTGunCqqRgFm5/Q9ZeqVdPWANxl0RGilM5kQov/6nKrqP//zP1m3bh0A9fX1\n3H777Tz77LMjHpgQ483Zi9VkpMZjjBla6Tqxs119LLenCyHGpj6T+rPPPsuuXbsAyM7O5vDhw/zm\nN78Z8cCEGE98Pj8XSuuYkZ0y5Gt19YAfq8PZhBBjV59J3ev1hnSQi4qKQqMZO3NRCzEWlFU30ubp\nYOZwJPXOkrp0khNCDFSfbep33nkn1157Lffccw+qqvLGG29wxx13hCM2IcY0v6oGJ5g5e7EGvS6K\n9JT4IV83PtaAVqshtp/zqQshRJc+k/r/+l//izfffJOdO3cSGRnJE088wZ133hmO2IQYs5qdbn77\nysfcvnYB82dmcO5iDdMzk9AOQy1WhFbLwxvWkpwgE7QIIQamz6S+ZMkSDh8+zIYNG4blhn6/n+9+\n97scO3aM6OhonnvuOaZNm9btuIceegir1crPf/7zYbmvEMNp//FiHK5W3vzoEMlWM5W1TSyf3/05\nHqyBTr0qhBDQjzb15ORkdu7cSXt7+7DccMuWLXg8HgoLC3nqqafYtGlTt2OeffZZTpw4IdPRijHJ\n5/ez/3gJc3MDU8E+//pOVGD6MLSnCyHEUPSZ1A8ePMjatWvR6/VoNBo0Gg3aIYyd3b17d3CI3NKl\nSzl48GDI/sLCQvbv38/DDz885DlwhRgJp4uqcbhauW7ZbL507ULsrlaSrWYZgiaEGHV9Vr/X19cP\n6w0dDgdm86W2Qq1Wi9/vR6PRUF1dzZNPPsmbb77J3/72t35dLyJCi8UysXsJd1VYTPTXORARnSt8\njfR7oqoqVXXNnCmuxmTQsWhuNgdPlZA1xcrcGWmB/fXNpKXEjYm/jzwr3YXrWRlP5Dnp2Xh4ViL6\nWN2wz6ReW1vLyy+/TEtLC6qq4vP5KCkp4S9/+cugAjKbzTidzuDvXQkd4LXXXqOhoYFbbrmFmpoa\n3G43s2fP5pvf/Oag7iXEULS1d/DUH96hut6OVqvB5/OzdcdR6hodfONLK4DAamX33b5slCMVQoiA\nPpP6XXfdRW5uLnv27GH9+vV8+OGH3HzzzYO+4cqVK9m6dSsbNmxg79695OfnB/c99thjPPbYYwD8\n+c9/5syZM30mdK/Xh90+tEXlxzqr1Qgw4V/nQHR9kx7J96Tw8/PUNDi4/85V5GYmUdNgZ1vhSTo6\nvMzMShmTfw95VroLx7My3shz0rPx8KxYLHqionpP3X0m9YaGBnbv3s2mTZtYv349//zP/8xXvvKV\nQQe0fv16tm3bxsqVKwF48cUX2bx5My6Xi40bN4YcKx3lxGjxqyq7Pr9AXm4ac6ZNASAz1coDX5bV\nCYUQY1efST0+PjCZxsyZMzl27BjLli2joaFh0DdUFIVnnnkmZNuMGTO6Hfetb31r0PcQYqjOltTQ\n0ORkw42LRzsUIYTotz6T+rXXXsuGDRv45S9/yY033sihQ4eIjo4OR2xChFVFrY3aRgdzc9PYdfgc\naUlxMl5cCDGu9GtGuQsXLpCVlcUrr7zCzp07+dd//ddwxCZEWG3dcYSSygYiI7R0eH3cc/MSaQIS\nQowrvSb1G2+8kQ8//BCA3NxcABYtWsSiRYt6PEaI8aCkoh67q5UFszJDtns6vJTV2Fh79SwiI7XU\n25zMn5ExSlEKIcTg9JrUCwsLKSgouOLJX5w4RoixTFVVtmw/jN3ZSv7MjOBiLAClVY34fH4Wzs4k\nNTF2FKMUQojB6zWpv/32232eLFWTYjwpr7FRXW8HoLbBHpK8iyvqiNFFkZxgGa3whBBiyHpN6mvX\nrg1jGEKMvH3HijEb9bS42ykurw9J6kXl9eSkJ4aU3oUQYrwZ+jqRQowDbZ4Ojp4tZ0neVDJS4imq\nqAvu83R4Ka+2kZOROIoRCiHE0PXZ+12IieDImTI6OrxcPW8qflVl79Ei/KqKRlEC7el+P9MykkY7\nTCGEGJJ+JfWTJ09is9lCVk1bs0Zm1hLjg9qZxGdMTSHObGBaRhLb950OtqsXlUt7uhBiYugzqT/6\n6KNs3bqVnJyckI5xO3bsGNHAhBguJy5UUlXXzIOdU7xmTbGi1WiC7erF5fXkZEh7uhBi/OszqX/4\n4YecPXsWvX7sLkUnRG98Pj/vfXac6ZnJzMhOASAqMiLQrl5eR3uHl4tVDdx1w6I+riSEEGNfnx3l\ncnJy8Pv94YhFiGG3/0QxDU1Obl6TH7I9JyORExcqeX/Xca5dOpsl83JGKUIhhBg+fZbU4+LimDNn\nDitWrECn0wGB8ekvvPDCiAcnxFB4OrxsKzzFwtmZpCfHheybm5vGvmPF3FGwgIWzs0YpQiGEGF59\nJvV169axbt26YHu6qqoy6YwYF85erMHlbuP6ZXO77ctIiefH37lDnmUhxITSZ/X7/fffz6JFi3A4\nHNhsNhYsWCDLoopxobSyAYtRT0Kcscf9ktCFEBNNn0n9pZde4s4776SkpITS0lLWr1/P888/H47Y\nhBiS0qpGsqZYJXkLISaNPqvff/nLX7J//36sVisA//Iv/8I111zDAw88MOLBCTFYHV4fFXVNzJ8l\nK60JISaPPkvqfr8/mNABEhIS0Gq1IxqUEENVWduEz+cna0rCaIcihBBh02dJPT8/n+9///s88MAD\nqKrK888/z/z588MRmxCDdrGygcgILVNkGVUhxCTSZ0n9j3/8I1FRUfzDP/wD3/72t4mKiuL3v/99\nOGITok9+v5/9x4vxdHhDtpdWNZCREo9WK2sWCSEmjz5L6jExMfziF78IRyxCDFhReT2vfXiQmgY7\ndxQsBALDLi9WNbJk3tRRjk4IIcKr12LMwoWBD0iNRtPtP2lTF2PFhbJaAHYfPk9pVSMADc0uWlrb\npT1dCDHp9JrUP//8cyBQvfnF/9xud9gCFOJKisrrmJubxpSkOF778AAOVytHTpcBgYVbhBBiMumz\nwXH58uUhv/t8PhYvXjxiAQnRk8uX/e3S2uahvKaJGVnJbLhpMfU2Jz97divb9pwka4oVgz56FCIV\nQojR02ubekFBAZ9++ikQqILvotVq+dKXvjTykYlJr7zGxpsfHaLZ2Upru4fv3FNAZuql0vf50lpU\nVSU3M5nEeBPfunMl7jYP6cnxJMabRjFyIYQYHb2W1Hfs2IHf7+d73/teSNV7R0cHr732WjhjFJPU\n56dLaXK4WTY/B42iUFReF7L/THFNyDSws3OmsGhONslWs6yNLoSYlPrs/f6LX/yCN998E5fLhaqq\n+Hw+SkpKePLJJ8MRn5jELlY2MD0rmRtX5HG+tJaquuaQ/WdLqpmWmSTTwAohRKc+k/pdd91Fa2sr\n58+fZ82aNezcuXNI1e9+v5/vfve7HDt2jOjoaJ577jmmTZsW3P/666/zb//2byiKwte+9jUef/zx\nQd9LjF+eDi9Vdc0szgsMS5uSGMv5sksldVdLGxU1TayYnztaIQohxJjTZ0e5s2fPsn37dtavX88/\n/dM/sX//fsrKygZ9wy1btuDxeCgsLOSpp55i06ZNwX0+n48f/vCHfPzxx+zZs4ff//732Gy2Qd9L\njF9l1Tb8qkp257C0KUlxNDY5afd0AIFlVQGmZSaNWoxCCDHW9FlST05ORlEUZs2axbFjx/jWt75F\nTU3NoG+4e/du1q1bB8DSpUs5ePBgcJ9Wq+XMmTNoNBpqa2vx+XxERUVd+QVEaLFY9IOOZzzoql2e\n6K/zcrU2O7roSGZOS0aj0TBzWgrqNnC428hNNHP602qSrGayM2Qs+uUm47PSl4iIwLwa8p5cIs9J\nz8bDs9IVY2/6LKnPnTuXxx57jIKCAn7961/z85//nPb29kEH5HA4MJvNwd+1Wi1+v/9SQBoNb7zx\nBgsXLqSgoICYmJhB30uMX0VldUxNTwiOvJiSFItGo1Be04jfr3L0TDkLZssKbEIIcbk+S+r/5//8\nHwoLC5kzZw4//elP+fjjj3nllVcGfUOz2YzT6Qz+7vf7Q4bMQaAdf/369dx///385S9/4f777+/1\nel6vD7u9ddDxjAdWa6B390R/nV38qkpRWR2rrpoR8pqTrWaKSutJsJhwuFrJm54+ad6T/ppsz0p/\ndJW65D25RJ6Tno2HZ8Vi0RMV1Xvq7rOkftddd1FbW4vH4+GOO+7gP/7jP8jLyxt0QCtXruTdd98F\nYO/eveTn5wf3ORwOrrnmGjweD4qiYDAYZEraSaiu0UFre0e3GeGmJMZSVdfMqaIqYvRR5Ep7uhBC\nhOgzqW/cuJE333yTnJwcHnzwQT755JMh3XD9+vXodDpWrlzJpk2bePrpp9m8eTN//OMfMZvNfP3r\nX2fNmjWsXr0ajUbD17/+9SHdT4w/F6saUBSFzC8m9aQ4ahrtnDhfSd70NFmBTQghvkBRe5p/swdu\nt5t3332Xn//85zQ0NFBaWjrSsfWLx+Md01Ulw6Grqqyx0TXKkQxMW3sHHV4fJoMOCEz1+sfXPmXN\n4pnMmpoacqxfVXn/s2M0OdxU1jURFRHB9795Y8gxReV1PPv3TwB4cMMarp43dcL/7QdqvD4rI2k8\nVKmGmzwnPRsPz0pf1e99tqkDnDx5kr/+9a+89tprZGRk8P3vf3/YAhQT1zs7j1JR08QT37gBCKye\ndqGsDr+qdkvqRWV1fHLgLFmpVuJMBhbNze52vSlJsQBoNAp509NGPH4hhBhv+kzq8+bNQ6vV8o1v\nfIPt27eTmpra1ylCAFBV10xVXRNtng50UZFU1DQBUFxeT2OzC2usMXjsgRMlJMQZ+e691/Y6Q5w+\nOop4i4F4iwG97spDHYUQYjLqM6m//PLLIZ3ZhOgPVVWpbXSgAhU1NnIzk6motWEy6PB0eDlwooR1\nq+YB4G7zcOJ8BTcsn9vnlK9fufFqDHpJ6EII0ZM+exqZzWZuuOEGcnNzqaqqoqCggJKSknDEJsYx\nu7MVT4cXgLLqRiCw6lr2lATmz8zk4MmL+DrnJ/j8dCl+v9pjlfsX5WYmkZoYO2JxCyHEeNZnUn/4\n4Yf5wQ9+gMlkIiUlha997Wt861vfCkdsYhyrtTkAiDMbKK2y4fP7qaxtIj0ljiXzpuJwtXLuYg2q\nqrL/eAmzclIxG8fuLE5CCDEe9JnUGxoauOmmmwIHazQ8+OCD2O32EQ9MjG91jQ4itBrmz8ygrLqR\nukYHHV4fGSnxZKTEk2w187f39vPbVz6mur6ZJfNyRjtkIYQY9/pM6jExMVRUVAR/37VrFzqdbkSD\nEuNHWXUjJ85Xdtte2+ggMd5EdloCLa3tHDtXDkBaUlxgBb7blrNkXg4JcUYWzc1m5tSUcIcuhBAT\nTp8d5X71q19x6623UlxczPz587HZbLz66qvhiE2McUXldbzwxmdERUZ0G2JW1+ggKd5MZmo8APuO\nFZMQZwr2Wk9JsHDLGumAKYQQw6nPpH711Vdz8OBBzp07h8/nY9asWX2unCYmvq6EHhmhpaW1PThs\nDTp7vtsczMhOxhijI95iwGZvYXpW8ihHLYQQE1uvSf3b3/52rycpisILL7wwIgGJ8WHLx4eZkhjL\nDSvm8tzrO7E1u5iSFAeAy91Oa5uHJGtgNb7MVCs2ewvpyfGjGbIQQkx4vSb1a665BkVR6JpFtmv8\nsKqqfY4lFhOfy93O/JkZpCRYAGhsbgkm9drGQM/35M6knpVq5ciZMjJSJKkLIcRI6jWpX3/99aSn\np/e47+OPPx6xgMT40O7pQBcdicmgIzJCS6P90hzSdY12NBolOGNc3ox0ahrtpCfHjVa4QggxKfTa\n+/32228P/vzlL385ZN8PfvCDkYtIjHlenw+vz090ZASKEkjejc2Xknpto4OEWBMRncvmWox6vnzD\nYiIiZBldIYQYSf1au7K4uHik4xDjSLsnMFNcdGfHOKvFgK25Jbi/zuYkyWoaldiEEGIykwWpxYAF\nk3p0IKnHxxqD1e+qqlLTYA+2pwshhAiffi29KsTl2j0dAERHBh4fa6yRZocbn89Po91FS2s7makJ\noxmiEEJMSr0m9ZqaGp588slAyeuyn7v2icnrUvX7paTuV1WanW5KKupRgOw06yhGKIQQk1Ov1e8P\nP/xwMIlf/jPAI488MvKRiTGrq6Su66p+txgAaGx2UVLRQGpSLPpomaBICCHCrdeS+k9+8pMwhiHG\nk7auknpn9XucOQZFUWhsdlFcUd9tylghhBDhIR3lxIAF29Q7e79HaLXEmmK4UF5Hs9NNTnriaIYn\nhBCTliR10at9x4o5d7F7/4l2j5eICC1a7aXHxxpr4NSFKgCmpklSF0KI0TCopF5YWDjccYgxRlVV\n3t91nMIjF7rta/N0BKveu1hjjfj8fpKtZgwx0eEKUwghxGV6TeqFhYUsW7aMW2+9ldraWgBKSkrY\nsGED1113XdgCFKOjoTkwNK3O5uy2r93jDXaS62K1BKaElap3IYQYPb0m9UceeYR7772XWbNm8eST\nT/Lcc8+Rl5dHREQEp0+fDmeMYhSUVTUCYGt24fX5Qva191JSB5gqSV0IIUZNr73fvV4vTzzxBH6/\nn+zsbD755BM++ugjli9fHs74xCgprW5EAfyqSmOzi2SrJbiv3eMNzibXJTvNyszsFGbImulCCDFq\nei2pR0cH2kU1Gg0ajYbt27dLQp9Eyqoaye1M0F+sgm/3dAQnnuliMuh54MtriNFLe7oQQoyWfnWU\ni4uLIzl5eEpgfr+fRx55hBUrVlBQUEBRUVHI/s2bN7Ns2TJWrVrFd77znZBJb0R4tHs6qG6wkzc9\nDV1UJPU2R8j+No+3W/W7EEKI0TeoaWIVReHHP/7xoG64ZcsWPB4PhYWF7Nu3j02bNrFlyxYAWltb\n+dGPfsSJEyfQ6XTcd999vP322yHLwIqR4W7z4G5tJyHORHlNE6qqkpWaQGK8qceSui5eVmETQoix\nptek3ts0sUMtOe/evZt169YBsHTpUg4ePBjcp9Pp2LNnDzqdDgi06+v1+iu/gAgtFsuVjxnvFCXw\n/5F4nUdOl7F972nOlwZGOPzgH9ZRa7MTHRXBzGnJpB+Po6quOeTeHV4fZqNuVN/3rrXZJ/rffqBG\n8lkZr+RZ6U6ek56Nh2elK8Ze9/e2Y6SmiXU4HJjNl5bl1Gq1+P1+NBoNiqKQmBjoPf2b3/yGlpYW\nrr/++hGJQ8C+o8W8+MZn5GQkcffNV7PvaAl/+PunWGONZKcloNFoSEmwcPhUKaqqonR+ErS1d3Tr\nKCeEEGL09ZrU3W43P/rRj7jnnntYsmQJ/+2//Tf++Mc/ctVVV7F582bS0gY3v7fZbMbpvFSd25XQ\nL//9v//3/86FCxd4/fXX+7ye1+vDbm8dVCzjxeEzpWSnWYk3GYftmsfOlvPyO3tZODuLu9ctQaMo\n5KQl8R8vbaOorI5rl87Gbm/FFKOn3eOlvNKGxRQDQGt7B6iM6vve9U16ov/tB8pqDTwj8r5cIs9K\nd/Kc9Gw8PCsWi56oqN77NPXaUe6JJ56gtbWVrKws3n33XV5++WWOHDnCP/7jP/K9731v0AGtXLmS\nd999F4C9e/eSn58fsv/hhx+mvb2dN998M1gNP9m9+8lR9h4tHrbr2V2tbH53H/Omp7PhpqvRdJbA\nY00x3HfrMjQahdzMJACSOtvOu9rVfX4/Xq+P6EgpqQshxFjTa7rfs2cPx48fR1EU/uu//ou7776b\n3NxccnNz+eEPfzjoG65fv55t27axcuVKAF588UU2b96My+Vi8eLFvPDCC6xZs4Zrr70WCHy5uPPO\nOwd9v/HO7/fjdLfjdLUN2zUPnSxB0SjcdcMitJrQ73XTs5L51+9+Kbh0qjXWiEajUGdzMD0rObiW\n+hdnlBNCCDH6ek3qERERwTbUHTt28Itf/CK4z+PxDPqGiqLwzDPPhGybMWNG8GffF2Yvm+zcbR5U\nVcXhGp7qIL+qsv94CfnT04nR9bzm+eVroWu1GhJijdR3ltQvrdAmQ9qEEGKs6fWT2Wq1sm/fPlpa\nWqiqquKGG24AAgk+IyMjbAFOdi53O8Cgk3pxRT3v7jyKLjqK++9cSUlFAzZ7Cxtuurrf10iMN1PX\nOVa9/QtrqQshhBg7ev1kfvrpp7nnnnuora3ld7/7HQaDgf/5P/8nv/nNb3j77bfDGeOk5nIHqt0d\nLQOrfldVlb9/cIBDJy+SmmihsraONz86jKfDS0KccUALryTFmzh0qhQI9HwHqX4XQoixqNeknp+f\n323hlnvvvZfHH398xIMSlzhbAiX11jYPHV4fkX2MUexis7dw6ORF1q3KY+2S2Rw6eZFXPzgAwC2r\n84NNK/0RbzHicLXS0eGlvaOzpC7V70IIMeYMaD31hoYGvv/97w96OJsYuJbWSyX0ls6q+P4oLq9D\nAZEf5JcAAB29SURBVJblT0OjKFydN5WCJbPQRUWyaG7WgGKItxgAaHK4L2tTl5K6EEKMNX0mdafT\nyTPPPMOCBQtYvXo1EOgZL8LDdVkid7r7XwVfXFFPSmJsyAIrN6/O518euR2TYWCzJXUldZujhfZ2\n6SgnhBBjVa9J/fDhwzz00ENkZmbyxhtv8Oijj5KamsqLL77IvHnzwhnjpOZyt2Ey6II/94eqqhSV\n1/fYbh41iA5usaYYFEXBZm+hvcOLVqshQtu/ZgAhhBDh02tSX7x4MXa7nSNHjrBt2zY2btyIVj7I\nw87Z0k5achwQWmq/kiZHC81ON9My+t8Z7kq0Wg2xJj02ewtt7R3opOpdCCHGpF6T+ltvvYXX62XB\nggV89atfZcuWLfj9/nDGJgi0qcfHGtDronB19oB3udt45Z29wZ7oX1RUXg/A1AH0cO9LnMVAk72F\ndo9Xqt6FEGKM6jWp33bbbbz++uucP3+e5cuX85Of/ITKykoeffRRTp48Gc4YJzWXux2zQY/ZoAu2\nqReV13PkTBnnLtb0eE5xeR2piRYMl7WnD1W82RCsfpekLoQQY1OvSb1rfvaEhASeeOIJjhw5woED\nB9BqtaxduzZc8U16Lnc7JqMOs1EfrH6v75wI5kJ5XY/nFFfUk5OeNKxxxFsMNDkC1e/S810IIcam\nXpP6448/zoMPPojL5QpuW7hwIf/5n/9JZWVlWIKb7DwdXjwdXsxGfWdSD5TU65sCU7ZeKKvtdo7N\n3kKTw03OMLWnd4m3GHG3eXC4WqWkLoQQY1SvSf348ePExcWxYMECPvnkk5B9UVE9zxkuhldXEjcb\ndJiMustK6k70uigamlw0O9wh55y4UIFGowxoxrj+6BrWVt1gl45yQggxRvVa5NLr9fz7v/87X/va\n13j00UeZOXMmWVmBSUsUReHHP/5x2IKcrLqSuOmykrqqqtQ3OVkyL4fPDp3jQnkdi+dmA4GhbPuO\nFjM3N21Y29Mh0FEOAjPbSUldCCHGpj4nnykvL6e2NrSaV1XVEQtIXNKV1M0GHRajnpZWD3ZXK+0e\nL9lpCaQkWCi6rAq+uKKe+iYny/KnDXssJoOOCG3gcZE2dSGEGJt6LXJVV1fzve99jxMnTvDnP/85\nuP65CB9n5xA2k0GHyaBDVVVKqxoBSIwzkZuZxPFzFaiqiqIo7D1ahDXWyLTM4e0kB6BRFOLMBuqb\nnFJSF0KIMarXknpeXh45OTkcPXpUEvooaWltQ6+LIiJCi9kUmNq1pKIeRVFIiDWSm5mM3dVKQ5ML\nl7uNE+crWZqfg2YAi7UMRFe7upTUhRBibOq1yPXu/9/evUZHVZ57AP9P5pbJZSY3EgIhFxggQIBg\nxZAMJEQDRgU5XL1QQUzVtFA4yLJntV0LWdRiPRyF1Rax58hiYStaURtEQwWRW8GgWYBGRBJJwEBI\nICGZmdwv854PISPDZBKGZm57/r8vTPbe2fuZ8THPPO/e+92FhUhLS3NnLHSLxuY2hNw4N64NuVHU\nL19DuDYICoUcSXFRkMlk2F5wtHvaVhms59ddoaeoB7JTJyLySg479bi4OMybNw8pKSnIz89HQ0OD\nO+Mi3CjqQTeK+o3536uvGTEoIhQAoFGrMC/nJ0gaOgiRYSHINaQgJCjQZfGEs1MnIvJqDov6smXL\nkJycjI0bN6K1tRWrV692Z1yE7lvaQm4U80C1EgqFHALd59N7pE0YjoX3T8bSOQZkTU52aTw/Dr+z\nUyci8kYO/zpXVVVhw4YNAICcnBxMnDjRbUFRt8bmNmsBl8lkCA1So97UbO3U3a0nloG+XY6IiAaG\nw0795glmlEol1Gr+IXe3xuZWm+H0nteDwrUeiSd2UBiWP3Yvhg2O8MjxiYiobw47dd6L7lkWiwVN\nLe3Wc+oArK891akDQMKQKI8dm4iI+uawqJ85cwZJSUnWn6uqqqw/y2QylJeXuz46P9bc2g4hhPWc\nOtDdqauUCutFc0RERDdzWNRLS0vtlslkMnbwbtDVZcHBL74DAISFBlmXjx0xBMEaNWQuug+diIh8\nm8OinpiYaLds3bp1WLdunQvDoQZzM/625zguVdcjd2oK4mLCrevG6YdinH6oB6MjIiJv1u/c7zfb\nvXu3q+IgdF/HsOufX6Le1Iz8R7Jxb9pYduVERHTbnCrqA8VisSA/Px8ZGRnIzs7G+fPn7bZpbm6G\nwWDAuXPnPBChZ5z5vgplP9Rg7n0/QeJQXpBGRETOcaqor1+/fkAOWlBQgPb2dhw/fhx/+MMfsGbN\nGpv1xcXFyMzMREVFRb+d6j+PlgxITK7S2dmF/3vvML4+V9nndh2dXfjo8GmMTIjBOP0QN0VHRERS\n4vCcel5eHrZt2wYAKCsrw8iRIzF79uwBOeixY8eQm5sLAEhLS0NxcbHN+vb2dhQUFOCJJ57od1/f\nlV9B7rTxAxKXK1RWX0fZxRqcr7wKrVaDicnD7LYRQmD3gVNoMDfjl0/kICwsyGZ9z/canU7jjpB9\ngkIhB8DP5FbMFXvMFXvMk975Qq70xOiIw0795MmT1teLFi0auIgAmEwmaLU/TqAil8thsVisP2dk\nZCAuLu629tXW3jmgsQ20qpruOfOTk2Lxv+8eQmlFtc36C5drsXHbP7H3SAlmGlIwJDrME2ESEZEE\neGQSb61WC7PZbP3ZYrEgIODOTu+3tnXAaGwZqNAGXEXlNWhDNFg8Kx2vvfMZdh84hWcWTgfQfaX7\nf7+xF1HhIcibn4nRiYN7fS+RkSEA4NXv0916vknzM7HFXLHHXLHHPOmdL+SKTqeBqo/nb3jkQjmD\nwYDCwkIAQFFRESZMmHDH+2pr7xiosFyiutaEmEgtlAo5UvRD8cOV69ZRifJL19BlseDZRdMxOnGw\nhyMlIiJf57DcV1dXY/369RBC2LwGuiehWbt27R0fdO7cudi/fz8MBgMAYPv27Xj77bfR2NiIp59+\n2ql9tXr58HtNndF6b3nCkCi0d3Sips6E2EFhuHi5FlHhIS59XCoREfkPh0X92WeftRbxm18PxIxy\nMpkMW7dutVk2atQou+0OHjzY7768uVNv7+jEdWMTYiK7rx8YNjgcMpkMF6pqETsoDBeqapHIudSJ\niGiAOCzqvjJzXGenBV0WC+R3eE7elWrqTACAwVE6AIBapURslA4/VNUhdXQ8qq8ZkZE60pMhEhGR\nhDishM3NzVizZg2++OILAMDq1asREhKCzMxMXL582W0B3o72Du8cgq+pNQIAoiN/vNI/YUgkLlTV\n4YcrdRAAJ5khIqIB47Cor1q1Ci0tLUhISEBhYSHeeustnD59Gs899xxWrFjhzhj71e6l59Wra40I\n1wYhUKW0LksYEoW6hkacOV+FoEAVoj34GFUiIpIWh8Pvn3/+OUpKSiCTybB7924sWrQIer0eer0e\nv/71r90ZY7/avLVTrzMhJlJnsyxhSCQAoPjMBYyMj+Hc7kRENGAcduoKhcJacA4ePIicnBzruvb2\ndtdH5gRvHX6vrjVicJTWZlmELhghQWp0dnYhcWikhyIjIiIpctipR0ZG4sSJE2hqakJVVRVmzJgB\noLvADxtmP9WpJ3nTrHJFX5/HtetmTL1rJIyNLYiJsu3UZTIZEoZE4cz3l3nlOxERDSiHRX3Tpk14\n5JFHUFNTgy1btiA4OBi/+93v8Kc//QkfffSRO2Psl7d06k0tbfjo0Fdo7+jEia/LAcBu+B0AhscN\nQtnFGsQNjnB3iEREJGEOi/qECRNw9uxZm2WPPfYYVq5c6fKgnOWpTr223oy9/yrBf9x7F0KDA3H8\n9PewWCxY8fh9OFD0LS5W1SGmlwvh0lNHYJx+KJT9TMxPRETkDKfmfq+trcXvf/977Nq1C42Nja6K\nyWme6tSLvi5HSeklNLW0YekcA46dLMPklCTEx0Zi2dxpDue0V8jliNAFeyBiIiKSsn5nbDGbzdi6\ndStSU1Mxbdo0AN1XxnsLhSLAI0VdCIGS0ksYNjgCFy7VYsvOz9Da1oGsyaOt29zpQ2qIiIjuRJ+P\nXn3mmWcQHx+PDz74AMuXL0dsbCy2b9+O8eO95/nlapXSI8Pvl6/Wo97UhPsNKXhg2nhcvW7CxORh\niNCFuD0WIiIioI/h97vvvhsLFy7E6dOnkZCQAADYsGGD2wK7XYEqhUc69ZLSS9AEqjBiWDRGJsQg\nKFCF5OGxbo+DiIioh8NO/cMPP0RnZydSU1Px6KOPoqCgwPrIUG/iiU5dCIGvSy9h3IghkMsDIJPJ\nMHn8cIQGa9waBxER0c0cFvVZs2bh/fffR1lZGdLT07Fu3TpcvnwZy5cvx5kzZ9wZY5/UHujUr1wz\noq6hEeNHedf9+kRE5N8cFvXCwkIAQFRUFFatWoXTp0/jyy+/hFwux/Tp090VX7/UKqXbp4n96twP\nCFQrMTI+2q3HJSIi6ovDor5y5Ur87Gc/s7l1bdKkSfjjH//oVU9pU6sUbn2gS4OpGf86WYa7xyVC\nwfvMiYjIizgs6iUlJQgPD0dqaioOHTpks06lUrk6rtvm6k69tt6Ml7cV4tvzVQCAjw5/BbVKgRnp\n41x2TCIiojvh8Op3jUaDjRs3YvHixVi+fDlGjx5tvQpeJpNh7dq1bguyL4Eu7tQrLl1DXUMj3tx9\nDOmpenxdWolF90+GJtB7vtgQEREBtzH5TGVlJWpqamyWCSFcFpCz1CqFSzv1mutm6EI0uGtsAo6d\nKkN8bCTuGpfosuMRERHdKYed+pUrV7BixQp888032LFjBwwGgzvjum1qldKlV79fvW5CTJQOC++f\njKShURg+LBoBfAY6ERF5IYedekpKCoYPH46vvvrKaws6cKNTd+Hw+9U6E6IjQq33okeGccY4IiLy\nTg479cLCQqSlpbkzljuiVivR0dEJixAD3kF3dHSi3tiE6EjtgO6XiIjIFRx26nFxcZg3bx5SUlKQ\nn5+PhoYGd8Z129QqBQSAzs6uAd/3tfpGCAAxESzqRETk/RwW9WXLliE5ORkbN25Ea2srVq9e7c64\nblugSgnANc9Ur6kzAQA7dSIi8gkOh9+rqqqsD3DJycnBxIkT3RaUM9Sq7rfgiovlrl43IVijRrBG\nPeD7JiIiGmgOO/WbJ5hRKpVQq72zsKld2KlfvW5il05ERD7DYVF31b3oFosF+fn5yMjIQHZ2Ns6f\nP2+zfs+ePbjnnnuQkZGBN954o9/9/budemdXF46fKsNr73yG68Ymm3U9V74TERH5AofD72fOnEFS\nUpL156qqKuvPMpkM5eXld3TAgoICtLe34/jx4zhx4gTWrFmDgoICAEBHRweee+45FBcXIygoCAaD\nAQ8//DCiox0/OMXaqd9BUa+svo6/7fkcDaYmyOUB+NfJUjycPQkA0GWxoLa+EWkTht/BuyQiInI/\nh0W9tLTUJQc8duwYcnNzAQBpaWkoLi62rjt79iz0ej10Oh0AYOrUqThy5AgWLFjgcH+BPZ36HQy/\nH/ryOwQEyPCfS2bi1NmLKPqqHDMNKQhUKXG9oQldFguieeU7ERH5CIdFPTEx0SUHNJlM0Gp/LJRy\nuRwWiwUBAQEwmUzWgg4AoaGhMBqNfe4vOKj7XL9cIYNOp7ntODo7u1B2sQY56WORrI/FoKhQHC4u\nxbfll5GdNgYVVdcAACMSo53aryv03H7v6Ti8Sc8T8viZ2GKu2GOu2GOe9M4XcqW/p4P2O/f7QNNq\ntTCbzdafewo6AOh0Opt1ZrMZ4eHhfe5PoZAjIECGVic79dILNWht68DE5GEAgMiwEEwaE4+DRd+h\nq8uCs+VXoFYpEK4Ncmq/REREnuKwU3cVg8GAPXv2YOHChSgqKsKECROs65KTk1FWVob6+noEBwfj\nyJEjeP755/vcX1eXBSqlAkZTM4zGltuOo7ikAroQDbRBGuvv3TN+OF7/9iD+6392wdzUivSJI2Ay\ntd7ZGx1AkZHdU9M68/6kruebND8TW8wVe8wVe8yT3vlCruh0GqhUjku324v63LlzsX//fut88tu3\nb8fbb7+NxsZGPP3003j11Vdx//33w2KxIC8vD7Gxsf3uU61UOHX1uxAC356/guThsZDdNLVs0tAo\njNMPRYBMhun3JGPY4Ajn3yAREZGHuL2oy2QybN261WbZqFGjrK9nzZqFWbNmObVPldK5h7rU1JlQ\nb2rC2BFD7WJbOsd7H15DRETUF7cXdVdQqfrv1I2NLdj5cRHiYsLR0dkFpUIOfbzjW+WIiIh8jSSK\nevfwu+MHuggh8P6+YlTXGlFTa0RzazvGjRgCZT9XERIREfkSSRR1VT/PVC/+pgLfVVzB0jkGjE4c\njNKLNRgcpXO4PRERkS+SRFFXKxVobG7rdV29qQkfHjqNu8YmYJy++xz62BFD3BkeERGRW7j9PnVX\nUPVx9fvX5yrRZRHW6V+JiIikShJFXd3H8HtTSxtCgwIRFKjqdT0REZFUSKKo99WpN7W0I0jDgk5E\nRNIniaKuViocPqWtuaUNwRrvfBY8ERHRQJJEUe+++r0Dll6eAd/U2s6hdyIi8guSKOqhwYGwWARe\nfH0P3ttXjKabroRnp05ERP5CEkV9/Mg4PLtoOiaNiccXJeU4W3HFuo7n1ImIyF9IoqjLZDKMGBaN\n2dNTEahSwtzU/YQdixBobm1np05ERH5BEkX9ZiHBgTA3dT8utbWtA0IInlMnIiK/ILmiHnpTUW9q\n6T63zk6diIj8gfSKetCPRb25pR0AEMxz6kRE5AckV9S1IfadehA7dSIi8gOSK+qhQYEwN9/o1Ftv\ndOo8p05ERH5AekU9OBCtbR3o6OhEU0sblAo5lEpJPIyOiIioT5Is6gBgbm7jxDNERORXpFvUm1o4\n8QwREfkVCRZ1DQDA3NSK5tY2BAeyUyciIv8guaIerFFBJpPB3NTKTp2IiPyK5Ip6QEAAQoLU3Z06\nz6kTEZEfkVxRB368rY2dOhER+RNpFvXgQJgaeU6diIj8i2SLem29GRaL4GxyRETkNzxS1FtaWjB/\n/nxkZmbioYceQm1tba/bXbt2DaNGjUJ7e7tT+w8NDkRtQyMAzvtORET+wyNFfevWrZg4cSKOHDmC\nJUuW4MUXX7Tb5pNPPsHMmTNx9epVp/cfGhwIIQQAzvtORET+wyNF/dixY8jNzQUA5Obm4tNPP7Xb\nRi6X48CBAwgPD3d6/z33qgPs1ImIyH+4fFL0bdu2YfPmzTbLYmJioNVqAQChoaEwGo12v5eTk3Nb\n+1co5NDpNDbLBkdrra9jY3RQq5TOhu1VZLLuf299n/5MoZAD4GdyK+aKPeaKPeZJ73whV3pidLje\n1QHk5eUhLy/PZtn8+fNhNpsBAGazGWFhYQN6TF1oEABAoQiAig9zISIiP+GRimcwGFBYWIjJkydj\n7969yMzMvON9dXZ2wWhssV1o6f4nOFANk6n134jUO0RGhgCA/fv0Yz3fpPmZ2GKu2GOu2GOe9M4X\nckWn00Clcly6PVLUf/7zn2Pp0qWYNm0a1Go1du7cCQDYtGkT9Ho9Zs+ebd1W1jNO5AS1UgGlQs6J\nZ4iIyK94pKhrNBq8++67dstXr15tt6y8vNzp/ctkMoQGB3KKWCIi8iuSnHwGAMK0QdbHsBIREfkD\nyV5Ftuj+e6CQS/Y7CxERkR3JFvUIXbCnQyAiInIrtrJEREQSwaJOREQkESzqREREEsGiTkREJBEs\n6kRERBLBok5ERCQRLOpEREQSwaJOREQkESzqREREEsGiTkREJBEs6kRERBLBok5ERCQRLOpEREQS\nwaJOREQkESzqREREEsGiTkREJBEs6kRERBLBok5ERCQRLOpEREQSwaJOREQkESzqREREEsGiTkRE\nJBEs6kRERBLh1qLe0tKC+fPnIzMzEw899BBqa2vtttm0aROmTJmCKVOmYP369e4Mj4iIyKe5tahv\n3boVEydOxJEjR7BkyRK8+OKLNuvLy8uxc+dOfP755ygqKsK+fftQUlLizhCJiIh8lluL+rFjx5Cb\nmwsAyM3NxaeffmqzPj4+Hp988glkMhkAoKOjAxqNxp0heq1Dhw55OgSvc/jwYU+H4JWYK/aYK/aY\nJ73z9VxRuGrH27Ztw+bNm22WxcTEQKvVAgBCQ0NhNBptg1EoEBERASEEnn/+edx1113Q6/V9Hkeh\nkEOnk3bhl8mApKREyb9PZygUcuj1w/mZ3IK5Yo+5Yo950jtfyBWFQt73elcdOC8vD3l5eTbL5s+f\nD7PZDAAwm80ICwuz+73W1lY89dRT0Ol0eO211/o9TkCADCqVy96G17h48SKSkpI8HYZX4WfSO34u\n9viZ2ONn0jtf/1xkQgjhroO9+uqrMJvNeOGFF/DOO+/g6NGj2LJli3W9EAK5ubm477778Ktf/cpd\nYREREUmCW4t6S0sLli5diitXrkCtVmPnzp2Ijo7Gpk2boNfr0dXVhcceewzp6enoCeull17ClClT\n3BUiERGRz3JrUSciIiLX4eQzREREEsGiTl7lxIkTyM7OBgBcvXoVc+bMQVZWFjIzM3HhwgWbbbu6\nuvDUU09h6tSpmDZtGs6cOQMA+P777zF16lRkZmbiF7/4BTgYJU0358qjjz6K7OxsZGdnIzExEY8/\n/rjNtswV/3Zzrnz33XfWPMjLy7P7b+7zuSKIvMTLL78sxo8fL9LT04UQQixdulTs2rVLCCHEwYMH\nxZ49e2y2LygoEHl5eUIIIQ4dOiTmzJkjhBBi9uzZ4vDhw0IIIfLz88U//vEPd70FcpNbc6VHfX29\nSE1NFdXV1TbLmSv+69ZceeSRR8TevXuFEEIsXrxYcn9X2KmT19Dr9fjggw+s34CPHz+OyspKzJgx\nA2+99RbuvfdeAMDSpUtRWVmJOXPm4C9/+QsA4MKFCwgPDwcAnDx5EpmZmQCABx54wG6SI/J9t+ZK\nj7Vr12LlypWIiYkBwFwh+1zRaDSoq6uDEAJmsxkqlQqAdHKFRd2DnBlqtlgsyM/PR0ZGBrKzs3H+\n/HkAPjQkdBvmzZsHheLHOQcuXLiAiIgI7N+/H/Hx8Xj55ZcBADt27MCwYcMAAHK5HE8++SRWrlyJ\nxYsXA4DNZxASEmI3yZEvcmao2R9zBej+f+izzz7Dk08+aV3m77nS31CzP+bKL3/5S6xatQpjx47F\n1atXkZWVBUBCueKhEQK/5+xQ8/vvvy+WLVsmhBCiqKjI54aEbldFRYWYMmWKEEKIwYMHi+vXrwsh\nhDh16pR48MEHHf5edXW1SEhIEE1NTSIuLs66vKCgQKxYscK1QbuYs0PN/pgrQgixZcsWsWHDhn5/\nz59ypb+hZn/MlTFjxohvv/1WCNGdM8uXL3f4e76YK+zUPcTZoeab581PS0tDcXExAN8ZEroTU6dO\nxccffwygez7mlJQUm/V//etf8dJLLwHoHlKTy+UICAjApEmTrPM379271/r5+Cpnh5r9MVcA4MCB\nA3jggQd6XeevudLfULM/5kpzczNCQ0MBALGxsWhoaLBZ7+u5wqLuIc4ONZtMJuu8+UD38FBXV5fv\nDAk5oeeBPq+88grefPNNGAwG7Nu3D7/5zW8AdP9BunTpEhYsWIDTp08jKysLubm52Lx5MwIDA/HK\nK6/ghRdeQEZGBjo7O7FgwQJPvp1/m7NDzf6YKwBw7tw5DB8+3Ga9v+dKf0PN/pgrb7zxBhYsWIDp\n06fj9ddfx4YNGwBIJ1ekP2m6j4iMjMTDDz8MAJg9ezZ++9vf2qzXarXWefOB7nNhPd8geziaT9+X\nJCYm4vjx4wC6n9q3b98+u2127Nhhff33v//dbv3IkSMl/wSq9957D4sXL7Ypaj38MVcA4JtvvrHb\nxt9z5ac//SmOHj2KMWPG4LXXXsOaNWvw5z//2breH3MlJycHOTk5dttIJVfYqXuJ/oaaDQYDCgsL\nAQBFRUWYMGECAPjMkBANrL6Gmpkr1KO/oWbmigR58Hy+36uoqLBe0HLx4kUxY8YMkZGRIR588EHR\n0NAghBBiyZIlorKyUlgsFpGfny8yMjJERkaGOHfunBBCiNLSUpGVlSXS09NFXl6esFgsHns/5Do3\n54oQQowbN04YjUabbZgrJIRtruzfv1+kpaWJrKwsMXPmTHHx4kUhBHNFyjj3OxERkURw+J2IiEgi\nWNSJiIgkgkWdiIhIIljUiYiIJIJFnYiISCJY1ImIiCSCRZ2IiEgi/h/vz4GcdLqojgAAAABJRU5E\nrkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x10c86d9d0>" ] } ], "prompt_number": 20 }, { "cell_type": "code", "collapsed": false, "input": [ "y1 = sas1_obs['ctl az'].resample('60s', how=np.mean) * 60 - 0.64\n", "y2 = sas2_obs['ctl az'].resample('60s', how=np.mean) * 60\n", "diff = y1 - y2" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 21 }, { "cell_type": "code", "collapsed": false, "input": [ "ax = diff.plot()\n", "ax.set_ylabel('PYASF-PYASR Azimuth [arcmin]')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 22, "text": [ "<matplotlib.text.Text at 0x10c895a10>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAfUAAAFVCAYAAAD2VHb/AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\niChCMKgTERFFiP8H5ICcdfn19EMAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x10b1f2a50>" ] } ], "prompt_number": 22 }, { "cell_type": "code", "collapsed": false, "input": [ "y1 = sas1['ctl el'].resample('60s', how=np.mean) * 60 - 2.3\n", "y2 = sas2['ctl el'].resample('60s', how=np.mean) * 60\n", "diff = y1 - y2" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 29 }, { "cell_type": "code", "collapsed": false, "input": [ "ax = diff.plot()\n", "ax.set_ylabel('PYASF-PYASR Elevation [arcmin]')\n", "ax.set_ybound(-0.2, 0.7)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAfUAAAFSCAYAAADrUUZHAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTvx/uqUfUcZzR4AAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x110036ad0>" ] } ], "prompt_number": 31 }, { "cell_type": "code", "collapsed": false, "input": [ "import Pysolar" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 32 }, { "cell_type": "code", "collapsed": false, "input": [ "sunel = [Pysolar.GetAltitude(34.4731, -104.2422, t) for t in sas1['ctl el'].resample('60s', how=np.mean).index]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 40 }, { "cell_type": "code", "collapsed": false, "input": [ "sunel = pandas.Series(sunel, index=sas1['ctl el'].resample('60s', how=np.mean).index)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 41 }, { "cell_type": "code", "collapsed": false, "input": [ "ax = sunel.plot()\n", "ax.set_title(\"Elevation of the Sun\")\n", "ax.set_ylabel(\"Degrees\")" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 45, "text": [ "<matplotlib.text.Text at 0x110045f50>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAe0AAAFbCAYAAAD4J9aTAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3XdYVHe+P/D3FAaGIk1ALIAKNqSDKNItSBC7xhSjpmwS\nszGJ2ezebHJ3n+xv12STuzfZuJsbTe5q2ibR2LuiFLFFql0BBSkCSu8zzJzfHyZcjSIozJwp79fz\n+CTCzJz3J0N8e86c8z0SQRAEEBERkcGTih2AiIiIeoelTUREZCRY2kREREaCpU1ERGQkWNpERERG\ngqVNRERkJFjaRP1EKpXC398fQUFBd/wqKSlBWloa/Pz8dLLd6dOno7a2FgCQlJSEixcv6mQ7t9uw\nYQM8PT2RmJh43zxeXl7Iycnp07YuXryIpKQkBAQEICAgALGxsTh69GifXpPIWMnFDkBkStLS0uDk\n5HTX169evaqzbaakpODn5RZ2796ts+3c7ssvv8S7776Lxx9//L55JBIJ+roUxPz587F69WrMnj0b\nAHDkyBEkJSWhuLgYDg4OfXptImPDPW2iftSbglKpVHjttdcQEhKCwMBALF++HE1NTThw4AD8/f27\nHldfXw8nJyc0NDRg165dmDx5MsLCwuDp6Yk//OEPAIDly5cDAOLj41FWVnbHnu26devg5+eHwMBA\nJCQkoKCgAACwbNkyvPLKK4iPj4ePjw+Sk5PR0tJyV86GhgY8+eST8PPzg7+/P373u99Bo9Hgtdde\nw6lTp/D222/jo48+uuM5v8wDAGvXru3K/fbbb3c9dufOnZg4cSKCg4MRGRmJEydO3PO/V2VlJZqb\nm7t+HxUVhU2bNkEqlaK4uBh2dnZd37v99xs2bMDs2bMxb948+Pn5ISQkBOfOnevx/SEyaAIR9QuJ\nRCL4+fkJgYGBXb/mzZsnCIIgpKamCuPHjxcEQRDeeecd4Y033uh63ptvvimsWLFCEARBGD58uJCV\nlSUIgiB88sknwpIlSwRBEIS4uDihsLBQEARBKC8vF+RyuVBTU9O13Z//3cvLS8jOzhYOHTokeHt7\nCzdv3hQEQRA2bNggjBs3ThAEQVi6dKkQGRkpqFQqQa1WC8HBwcL69evvmuepp54SXn31VUEQBKGj\no0NISEgQ3nvvPUEQBCE2NlbYvHlzt/8dbs+zcuVKQRAEobKyUrCyshLKysqEy5cvC35+fkJtba0g\nCIJw9uxZwd3dXWhpabnr9b799lvB0dFRGDx4sLBo0SLhH//4R9fzrl69Ktja2nY99vbfr1+/XnBw\ncBDKy8sFQRCEl19+WVi6dOk9MxMZCx4eJ+pH3R0ev92uXbvQ0NCAgwcPAri15+3m5gYAeOaZZ7Bh\nwwaEhIRg/fr1+K//+i8At/ZKd+7ciW+++QYXLlyAIAhoaWm557YEQcC+ffuwePFiODs7AwCWLl2K\nV155BcXFxZBIJJgxYwYsLCwAAH5+fl2fQd9u3759OHbsGABAoVDghRdewEcffYTf/e53XdvpjZ8P\nobu5ucHNzQ1VVVU4ceIErl+/jvj4+K7HyWQyFBUV3fXZ/+LFizFv3jwcOXIEGRkZ+Ne//oU///nP\n3e6Z3y4kJASDBw8GAAQHB2PLli29ykxkqHh4nEjPtFotPv74Y+Tm5iI3NxcnT57Exo0bAdw6vLxx\n40bk5+ejoaEB0dHRaGlpQWBgIPLy8hASEoIPPvgAFhYW9y1NQRDu+r4gCFCr1QAAKyurrq9397mz\nVqu94+sajQadnZ13PK83fv7Lwe3b0mq1mDJlStd/g9zcXBw9ehS+vr53PPfixYv4j//4DygUCkyZ\nMgXvvPMOsrOz4efnh82bN9+VXaVS3fF8pVJ5138DImPG0ibqR70phYSEBKxZswYqlQparRYvvPAC\nfv/73wMABg8ejPDwcDz//PN47rnnAAAFBQVoamrC//t//w9JSUlIS0tDR0cHNBoNgFt7qLeXlUQi\nQUJCAr7//nvcvHkTALB+/XoMHDgQ3t7evS6uhIQE/POf/wQAdHR0YN26dZg2bVqPz/tlnl+SSCSI\nj4/HgQMHcOnSJQC39uoDAwPR0dFxx2Pd3Nzw2Wefdf2lBgBqampQVVWF4OBgODg4QKVS4cKFCwCA\nrVu39mo2ImPFw+NE/SguLg4ymeyOr61evRrW1tZde6b/+Z//id/85jcICgqCVqtFUFAQ/vu//7vr\n8c899xwWLlyInTt3AgACAgIwc+ZMjB07Fu7u7pg8eTJCQ0NRWFiI4cOHY968eYiKisK2bdu6XmPq\n1Kl47bXXEB8fD61WC1dXV+zatQsSiaTr1+3utdf88ccf4+WXX4afnx9UKhUSExPx1ltv9fjf4F55\nfmncuHFYt24dFi9eDEEQYGFhgZ07d961Z+zo6IjDhw/jzTffxBtvvAE7OztYWlrit7/9LWJjYwEA\n77//PhITE+Hq6oqFCxd2zfLLOe81N5GxkQg8XkRERGQUdH54/N1330VERATCwsLwxRdfoLCwEJGR\nkYiOjsaKFSv4GRMREVEv6bS009LScPz4cRw7dgxpaWm4cuUKXn/9daxevRoZGRkQBAHbt2/XZQQi\nIiKTodPSPnDgAPz8/DBnzhwkJydj1qxZyM7ORnR0NAAgMTERKSkpuoxARERkMnR6ItqNGzdQWlqK\nXbt24cqVK0hOTr7jcLitrS0aGhp0GYGIiMhk6LS0Bw4ciLFjx0Iul2PUqFGwsrJCeXl51/ebmpp6\nXDtYqxXQ2anRZcyHJpfLUF9fD1tbu54fbELMcW5znBng3Jzb9BnazHK5DFJp91c56LS0IyMj8fe/\n/x2rVq1CRUUFWltbMWXKFKSnpyMmJgZ79+7FlClT7vsanZ0aNDS06TLmQ7O3V+LDDz/EypVviB1F\nr8xxbnOcGeDcnNv0GdrM9vZKKBTdV7NOSzspKQkZGRmYMGECtFotPvnkE3h5eeG5556DSqXCuHHj\nsGDBAl1G0Lno6BixI4jCHOc2x5kBzm1uzHFuY5rZ4K/TVqk6DXpPG4DB5tMVc5zbHGcGODfnNn2G\nNnNPe9pcxpSIiMhIsLSJiIiMBEubiIjISLC0iYiIjARLm4iIyEiwtImIiIwES5uIiMhIsLSJiIiM\nBEubiIjISLC0iYiIjARLm4iIyEiwtImIiIwES5uIiMhIsLSJiIiMBEubiIjISLC0iYiIjARLm4iI\nyEiwtImIiIwES5uIiMhIsLSJiIiMBEubiIjISLC0iYiIjARLm4iIyEiwtImIiIwES5uIiMhIsLSJ\niIiMBEubiIjISLC0iYiIjARLm4iIyEiwtImIiIyEXOwARKQbGo0WbR0qtLWrb/2zQw2NRgtBECAI\nArSCALlMBoWFDAoLORQWctgoFbBRWkIq5d/niQwRS5vIiKnUnaiubUTlzUZU1zSgtqEV9U0tqGts\nRVNL+0O9pkQigZ2NFexsrODiZIdBAwdggI01XBzt4OpsB6Wlop+nIKLeYmkTGQmtVovKm40oqbiJ\nkooaXKusQU1dM4Sfvu9gZw0nexu4ONrBx9MN9nbWsFVawspSAaWlBZRWFpDJZJBKJJBIbpWzRqNF\nh7oTanUnOlSdaG1XobG5DY0tbWhsbkdjSxtO5F9BfWNrV46BjnbwGOSEoYMc4eHujCFujpBxz5xI\nL1jaRAaspr4Zl65ex6XiSlwpu4EOVSekEgncXR0wynMQ3EPtMWigA9ycB8DK0qLft29vrwQAVN1o\nxM26Jly/0YCyylpcq6xF/qVSaLRaWCksMHyoC3w8XeHt4QY35wGQSCT9noWIWNpEBkUQBFy7XovT\nl0txvqgCNfXNkEok8BzsjJjQ0fAa4gIPdycoLPT7v66VwgJD3Zww1M0JYeOHAwDUnRqUVdaisLQa\nhdeqsTv9NDRaLZzsbTDeewh8vYfAc7AzPx8n6kcsbSKRCYKA8uo65F64hjOXy1Df1AobpSV8vQfj\nkWh/eHu4GuTnyBZyGYYPdcHwoS6YNskXKnUnikqrca6wHNnnS5CRfRm21pYIGO2BEF9PDHF15B44\nUR/pvLSDg4Nhb28PABgxYgRefvllJCUlYdSoUQCAF198EYsWLdJ1DCKD09LWgdwLJTh19iqu32iA\njdIS432GwH/UMIwY5mJ0nxMrLOQYO2Iwxo4YjHlTtSipqMGZgjLkXriGo7kFcHMegOBxngj19YKd\njVLsuERGSSIIgtDzwx5Oe3s7IiIikJOT0/W1zz//HI2NjVi1alWvXkOl6kRDQ5uuIvbJz5/3GWo+\nXTHHuftz5mvXa5CZU4AzBWUQBAFjRwxG2PjhGD18kMEVdX/MrdFocbmkEtnnSnC+qByCAPiNGoqI\nQG94DnY2yL1vc/wZB8xzbkOb2d5eCYWi+/1pne5p5+fno7W1FQkJCejs7MRf/vIX5OTk4NKlS9i+\nfTt8fHzw0UcfwdbWVpcxiESn0WhxpqAMR3MKUHK9Bo4DbJAQMR4hvl6ws7ESO55OyWTSrj3wlrYO\nZJ29iuP5Rci7eA2DXRwQFTIKgWM8IJMZ1l9YiAyRTve0z549i5MnT+KZZ55BQUEBEhMT8eabbyI4\nOBhBQUFYvXo16urq8MEHH3T7GlqtgM5Oja4i9olcLgMAg82nK+Y498POrFZrcDS3APuPnEVtQwtG\neblhyqRx8B891ChO0NLVe63VCjhXWI7UExdxrrAcTvY2mDbZF5ODvWGp6P+z4B+UOf6MA+Y5t6HN\nLJfLIJV2f/RJp6WtUqmg1WphZXVrTyI8PBybN2/G0KFDAQDnz5/HypUrkZKS0u1rsLQNjznO/aAz\nq1SdyMi6hANHz6GxuQ0hvl5IiBoPD3dnXcbsd/p4r0sra7H/yFlknS2GtVKBqZPGIX7iWJ1cwtZb\n5vgzDpjn3IY2s6ilvXbtWpw+fRr//Oc/UVFRgSlTpsDW1haffPIJwsLCsGbNGpSXl+O9997r9jX4\nmbbhMce5ezuzRqPFydNFSDlxHq1tKgSO9UD8hLFwdR6gj5j9Tp/vdU19M9JOXcSps1ehtFQgLnwM\nJgV4w+KnP1T1yRx/xgHznNvQZu7pM22dlnZnZyeWL1+OkpISAMD7778PpVKJl156CRYWFnB3d8e6\ndevu+5k2S9vwmOPcPc0sCAJOXy7DvswzqK1vRtBYT0yL8IWzg3GfryHGe13b0IyDx88j53wJBthY\nYeokX4SN99Lrxwnm+DMOmOfchjazqKXdH1jahscc577fzEWl1diTcRqllbUY5TUIj0T5YbCro74j\n6oSY73VVTSMOHDuLM5fLMNjFAbPigzBiqItetm2OP+OAec5taDOLevY4kSmrb2rFrrR8nL5ciiGu\njnhuQQx8PN3EjmUy3JwHYElyBIrLb2J7ai4+/T4VfqOGIinaH072xn0Eg+hhsbSJHlCnRoPMnAKk\nHD8PC7kMixLCEOzrBakBXm9sCryGDMTLT0xFzrli7M08g/9avw/RoaMxJXwsLPS8nCuR2PgTT/QA\nCq9VY9uhHNyoa8KkgJFImDweSivDW2LU1EglEoSOH47xo4Yi9eQFpJ+6hPxLpZg/LQTeHjy6QeaD\npU3UC61tKmzadwrHcgvh4e6MlU9MxRA30/jc2phYKSyQGOWP4HGe2HwgG+s2pSPU1wtJMQGwUVqK\nHY9I51jaRD04X1SBbYey0a7qxLypIZjgP4KHwkXm5myPFxbH4cfTV7An4zQuXLmO5LhABI3xMMhl\nUYn6C0ubqButbR3YkZqHnAslGDdyMJbMngSZRP/XDNO9SSUSTAwYibEjB2PH4Vx8t+ckzhaUYf7U\nUNhYc6+bTBNLm+gezhdV4IcDWejUaLBweiimTB4HiURiMJeF0P+xt1ViyawI5F28hq2HcvC3L/Zj\nwfRQjBs5WOxoRP2OpU10G7W6E7szTuNYXiFGeQ3CgumhcLCz5iFXIxA4xgPDh7pg0/5T2LAtExP8\nhmNmbCCsDGAtc6L+wtIm+sn1G/X49+4TuFnfjFlxgYgI8uFn10bG3laJZ+ZF4UR+EXal56PwWjUe\nT5podGu+E3XH8G8zRKRjgiDgaE4B1nxz68Y1K5+YisjgUSxsIyWRSDAp0BuvPjUd1lYK/M93qcjI\nvgQDX/yRqFe4p01mra1dhe/3/YjzRRWYFDASM2MCuGCHiXBxtMOKxfHYc+Q0dqXlo+jaDTw6IwzW\nvDSMjBj/dCKzVVFdhy93HENruwpPzZqM8T5DxI5E/Uwul2FWXBBGDHXFpv0/4qOvDuLxpInwGjJQ\n7GhED4WHx8ksnTpzBf/49jAsFRZ45clpLGwTN95nCF59ajoG2Frh0+9TkZlzmYfLyShxT5vMilrd\niW2Hc3Hq7FWEjR+OOfFBPBxuJhwH2ODFR+OxOyMfO1LzUFZVh/lTQ/j+k1HhTyuZjfqmVnyx/Siq\nbjZgwfRQTPAbIXYk0jOZTIpZcUEY4uaIzQezUXmzAUtnT4bjABuxoxH1Cg+Pk1koqajBx1+noLml\nHSsei2dhm7mQcV5YsTgerW0qfPx1CgqvVYsdiahXWNpk8rLPFePTjalwsrfByienYqibk9iRyAAM\ndXPEyienYtBAe3z+QzqO5haIHYmoRzw8TiZLq9Viz5EzyMi6hJBxnpg3LRQWcq4dTv/H1toKzy6I\nxq60PGw/nIubdU2YGRsodiyibrG0ySR1qNT4ZvcJXLpaiaSYAESHjOJSpHRPMqkUs+ODMdDRDjtS\n81BT34IXH4+DlSWXPyXDw8PjZHIam9vw6fdpuFJ6A8vmRCImdDQLm3o0OcgHy+dG4mr5Dbz/+V7U\n1jeLHYnoLixtMilVNQ34x78PobGlDS8+GoexI9zFjkRGZMxwd6xYHI+2dhXeXbcbpZW1YkciugNL\nm0xGUWk1/vntYVgq5Pj141MwxM1R7EhkhNxdHPAfv0qCk70NPv0+FZeuXhc7ElEXljaZhNwLJfh8\ncwaGuDpixeJ4XndLfWJvp8Sq5QkYMcwF67dlIvt8sdiRiACwtMkEZOZcxrd7TiJg1DA8Mz8KSiuF\n2JHIBFgqLLBsdiSCxnri+70/Iu3Hi1z6lETHs8fJaAmCgAPHzuHQifOIChmFpJgA3k6T+pVMJsWi\nhDAMsLHCniOn0djShpmxgfw5I9GwtMkoaQUBOw7n4lheIWZEjkfchLE8Q5x0QiKRIDHKHwNslNiR\nmovm1g48OmMCZDIeqCT9Y2mT0dFotPh+34/Iv3gNc6cGY1KAt9iRyAxMDvaBjbUlvtt7Emp1Jx6f\nOYmL9ZDe8a+KZFRU6k58sf0oTl8uxWNJE1nYpFeBYzzw1KzJuFRciQ1bM6FSd4odicwMS5uMRluH\nCp9vzkBRaTWWz4lE4BgPsSORGRo3cjCWz41CyfUafP5DBto6VGJHIjPC0iaj0Nauwuc/ZKDyZgOe\nWxCD0cO5aAqJx8fTDc/Oj0ZlTQPWbUpHS2uH2JHITLC0yeC1tnVg3aZ03KxvxnMLYuA1ZKDYkYjg\nNWQgfrUwFnUNLfh0Yyoam9vEjkRmgKVNBq2l9VZh1zW24FcLYjBsEG+rSYZjqJsjXlwch9Z2FT7d\nmIqGplaxI5GJY2mTwWpubcfaTWloaG7DrxbGcllSMkhuzvZ48dE4qNWarp9XIl1haZNBamppx9qN\naWhubcfzi2Ix2NVB7EhE3RroaIdfLYqFSq3B2o0sbtIdljYZnMbmNqzdmIrWdhWeXxSHQQPtxY5E\n1CMXRzs8vygWKnUn1m5M42fcpBM6L+3g4GDExcUhLi4OzzzzDAoLCxEZGYno6GisWLGCa/nSHZpb\n2/HZD+lo61Dj+UWxcHMeIHYkol5zcbTD8wtj0aFSY+3GNDS1sLipf+m0tNvb2wEAqampSE1Nxf/+\n7/9i1apVWL16NTIyMiAIArZv367LCGREWts68NkPGWhu7cCvFsbC1YmFTcbHxenWHnc7i5t0QKel\nnZ+fj9bWViQkJGDKlCk4ceIEcnJyEB0dDQBITExESkqKLiOQkfh54ZSGplb8amEM97DJqLk6DcDz\ni2LR1qHG2o3paG5tFzsSmQidlraNjQ3eeOMN7N+/H59++imeeOKJO75va2uLhoYGXUYgI9ChUuNf\nW47gZn0znl0QDXcXnnRGxs/VaQCeXxiLlraOWyuntXPlNOo7iaDDD5VVKhW0Wi2srKwAABMmTEBu\nbi7UajUAYPv27UhJScGaNWu6fQ2tVkBnp0ZXEftE/tPNAgw1n67059wqVSfWfH0IJRU38erS6Rgx\nzKXPr6kLfK8598MqrazFf/9rPwa52OOVp6bBytKiz6+pK+b4fhvazHK5DFJp93cs1Ome9vr16/H6\n668DACoqKtDU1ITp06cjPT0dALB3796uQ+VkftRqDf7n21RcLb+BXz85xWALm6gvhg1ywstLpqK8\nqg7/820q1GrDKAcyTjrd0+7s7MTy5ctRUlICAHj//ffh7OyM5557DiqVCuPGjcNnn3123/sgq1Sd\naGgwzBM57O2VAGCw+XSlP+bWaLX4eudxXLp6HcvnRsHH062/4ukE32vO3VeF16rwry1HMMprEJYk\nRxjk/bjN8f02tJnt7ZVQKLq/a7ZOS7s/sLQNT1/nFgQBm/afQs75Ejw1ezLGjRzcn/F0gu815+4P\n54sq8OWOo/AfNQyLEydAKjWs4jbH99vQZu6ptA3rJ4ZMniAI2J2ej6xzxViYEGYUhU3UX8aNHIzF\nieHIv3gNW1JyuE4FPbDu65xIB9JOXURG9mUkxwYixNdL7DhEehc4xgMqdSd+OJAFG6UCiVH+Ykci\nI8LSJr05eboIe4+cQXz4WESFjBI7DpFoJviNQGubCnuOnIadjRUig/n/A/UOS5v04vTlUmxJyUG4\n/wgkTB4vdhwi0cWEjUZTazt2pObB1toKgWM8xI5ERoClTTpXUFKFb/echJ/PUMydEnzfqwWIzIVE\nIkFSTACaWtrx/d4fYaO0NPirKEh8PBGNdKqiug5f7jiKEUNdsPgRwztblkhMUokEi2aEYeQwF3yx\n/SjKqmrFjkQGjn+Cks7UNbbgf7ccgbO9LZbMioBcJhM7EpHBkctkWDIrAm7OA/C/m4/gZl2T2JHI\ngLG0SSda21X415YjkEmleHpeFKwUhrt0I5HYLBUWWD43EkorC3y+OYN3BqNusbSp33V2avDl9qNo\nbG7DM/OjMMBWKXYkIoNna22FZ+dHQ92pwfqtmVCpO8WORAaIpU39SisI+H7fjyi5XoOlcyLh5mwv\ndiQio+Fkb4vlcyNRVdOIf+8+Aa1WK3YkMjAsbepXezNOI/9SKR6dMQEjhvIGIEQPaqibE56YOQkX\nrlzHzrR8seOQgWFpU785mluA9KxLSIoJ4DWnRH0wbuRgzI4LxNHcAmTmXBY7DhkQXqdN/eJsQRl2\nHM7F5CAfRHO1M6I+iwjyQU1DC3am5sHBzgbjfYaIHYkMAPe0qc9KK2vx7Z6T8PUeguTYAC6eQtRP\nkmIC4OszBN/uOYFr12vEjkMGgKVNfVLf2IoN2zIxaKA9Fj8SzsVTiPqRVCLB4sRwuLvYY8O2TNQ2\nNIsdiUTGP2HpoXWo1NiwLRNSiQRLZ0+GwoKfthD1N4WFHMvmREJhIce/thxBa7tK7EgkIpY2PRSt\nVotv95zEzfpmLJ8byWuxiXTI1toKT8+LQlNrB77acQwaDS8FM1csbXooWw/m4EJRBR57JByDXR3F\njkNk8lydBmBJcgSult/A9tRcCIIgdiQSAUubHlhmdgEOHD2HR2IC4OvNM1qJ9MXbwxVz4oNxIr8I\nx/IKxY5DIuCHkPRAikqr8c3O44gM4aVdRGKYGDASVTUN2JmaBxdHO4zyGiR2JNIj7mlTr92oa8KX\nO47Bx9MNj8+cyEu7iEQyMzYQ3h6u+HrXcVTXNoodh/SIpU290tquwvqtmbBRWuL5xbGQyfijQyQW\nmVSKJ2ZOgp21FTZsy0RrW4fYkUhP+Ccv9Uir1eLfu0+gpbUdy+dEwkZpKXYkIrOntFJg2dxItLSp\n8PWu4zyj3EywtKlHe4+cQUFJFR6fOQkuTnZixyGin7g42uHJmZNwpfQGdqTmih2H9IClTfeVc6EE\n6VmX8Ei0P0bzhBcig+Pj6YZZ8UE4zjPKzQLPHqdulVbW4ocDWQge68kzxYkMWESgN6puNmBHai4G\nDbTnbXFNGPe06Z6aWtrw5fajGOQ8APOnhfBMcSIDNysuCJ7uzvhqxzHUN7aKHYd0hKVNd+ns1ODL\nHceg0Qp4avZkWHBNcSKDJ5NJ8WRyBOQyKb7ccRRqdafYkUgHWNp0B0EQsO1wDsoq6/DUrAg42FmL\nHYmIesnOxgpPzZ6MypsN2HIoh0udmiCWNt3heH4RfjxzFXOmBMFryECx4xDRAxo2yAnzpoUi+1wx\njuXyxDRTw+Oe1OVK2a3LRiICvRHuP1LsOET0kEJ9vVBeVYudaXkY5GKPkcNcxY5E/YR72gQAaGhu\nw9c7j8PT3RnJsYFixyGiPpoZEwivIQPx9c7jqGtsETsO9ROWNqFTo8HXO49BIgGeTJ7EJUqJTMCt\nE9MmwUIuw5c7jvHENBPBP50Ju9PzUVpZiyXJEbCzUYodh4j6ia21FZbMikDVzQZsScnmiWkmgKVt\n5nIulOBobiGSfzqURkSmZdggJ8yfFors8yU4cbpI7DjURyxtM3b9Rj02H8hC4BgPRAR5ix2HiHQk\nxNcLEwNGYkdqHq5drxE7DvWBzku7uroaw4YNw+XLl5Gbm4shQ4YgLi4OcXFx2Lhxo643T91oa1fh\nyx3H4OxgiwXTQ7niGZGJmxUbCPeB9vh653G0tPJWnsZKp6WtVqvx/PPPw8bGBoIgIDs7G6+//jpS\nU1ORmpqKRYsW6XLz1A2tIOC7vT+ipa0DT82KgIIrnhGZPLlchiWzIqBSd+LbPSeg1fJWnsZIp6X9\nxhtv4MUXX4S7uzsAICcnB7t370ZMTAyeffZZNDc363Lz1I3Ukxdw4UoFFieGY6Ajb7VJZC4cB9jg\nsUfCUVBShZQT58WOQw9BIujodMINGzagvLwcb731FuLi4vDpp5/i+PHjCAgIQFBQEFavXo26ujp8\n8MEH9338aa8oAAAgAElEQVQdrVZAZ6dGFxH7TC6XAYDB5ruXcwXlWPN1ChKj/TF7StBDvYYxzt1X\n5jgzwLlNde6dqXnYnZaPXz85FeN9hnR93dTnvhdDm1kul0Eq7f7jSp2VdkxMDCQSCSQSCfLy8jB6\n9Ghs374dbm5uAIDz589j5cqVSElJue/rsLT7z826Zqz+dBc8Bzvj5SVTIJU+3IEWY5u7P5jjzADn\nNtW5tVoBa75OQUl5Dd56cSacHWwBmP7c92JoM4tW2rf7eU972bJl+PjjjxEWFoY1a9agvLwc7733\n3n2fq1J1oqGhTdcRH4q9/a1rmg013+06NRr8z3epaGppxytLpsFGafnQr2VMc/cXc5wZ4NymPHdL\nawf+/vVB2FpbYsXieMjlMrOY+5cMbWZ7eyUUiu7PM9LbJV8SiQSffvopXnvtNcTFxeH48eN4++23\n9bV5s7c7/TQqquvxZPKkPhU2EZkGG2tLLJkVges3G7AjLU/sONRLD3TacENDA8rKyuDr6/tAG0lN\nTe3698zMzAd6LvXd6culOJpbgFlxgfBwdxY7DhEZiGGDnDArLhBbU3Lg6e6M+IixYkeiHvS4p/35\n559j+fLlqK6uhq+vL+bPn4+33npLH9moH9ysa8IP+7Pg5zMUk4N8xI5DRAZmov9IBI/zxJaUbJRX\n1Ykdh3rQY2l/8skn+Nvf/obvvvsOs2fPxtmzZ7Fv3z59ZKM+Undq8PXO47BWKrAggQuoENHdJBIJ\n5k0NgZO9DdZ9n472DrXYkeg+evWZtpOTE/bs2YNHHnkEcrkc7e3tus5F/WBnWh6qahvxZHIElJYK\nseMQkYFSWMjxZPIk1Da04NvdJ8WOQ/fRY2n7+vpi5syZKCoqwrRp07Bo0SKEhYXpIxv1Qe6FaziR\nX4RZsYEY6uYodhwiMnBuzvZ4bGY4TuQVIevsVbHjUDd6PBHtX//6F44fP47x48dDoVBg6dKlSEhI\n0Ec2ekjVtY3YfDALAaOHYWLASLHjEJGRiAjyxuWrldh6KAfD3J3g5mwvdiT6hR73tLVaLY4cOYJX\nX30V9fX1yMrK4pq1Bkyl7sTXO49jgK0S83kjECJ6QI/NDIfjABt8vfM4VOpOsePQL/RY2i+99BKa\nm5uRnZ0NuVyOwsJCPPPMM/rIRg9h++Fc3KxvxpLkSbBSWIgdh4iMjKXCouvz7W2HcsSOQ7/QY2ln\nZ2fj3XffhUKhgK2tLb788kvk5PCNNETZ54px6uxVzI4LgruLg9hxiMhIDRpojznxQcg6V4zs88Vi\nx6Hb9FjaUqkUKpWq6/c3b9586DWrSXeqaxuxJSUbwWM9McFvuNhxiMjIhY4fjuCxntiakoPqmkax\n49BPemzfV155BVOnTkVlZSVeeeUVhISE4NVXX9VHNuqlzk4N/r3rBAbYKjFnajA/xyaiPpNIJJg7\nNRj2tkp8vYufbxuKHs8ef+qppxASEoK0tDRoNBrs2rUL/v7++shGvbQ74zSqahrx0uNT+Dk2EfWb\nnz/fXvPvQ9iRmosF03m5r9h6dZw7Ly8PFRUVePrpp5GXx4XlDcn5ogoczS3AI9H+vB6biPqdu4sD\nZscF4sczV5F74ZrYccxej6X9u9/9Dnv27MGWLVugVquxfv16rFq1Sh/ZqAcNTa3YuO9HjBnhjshg\nritORLoxwW8EAkYPw5aULNTUN4sdx6z1WNr79+/HV199BSsrKzg6OuLgwYPYu3evPrLRfWi1Wny7\n5yRkMikWJYTxc2wi0pmf1ye3trLEt3tOQKPhWh1i6bG0ZTLZHb/v6Oi462ukf4dPXsDVsht47JGJ\nsLW2EjsOEZk4pZUCjyeFo6yyDgePnxM7jtnqsbQXLlyIxYsXo7a2Fh9++CGioqLw2GOP6SMbdeNq\n2Q0cPH4eceFj4e3hKnYcIjITnoMHYlqEL1JPXkDhtSqx45ilHs8e/81vfoOUlBR4eHigtLQUf/rT\nnzBz5kx9ZKN7aG3rwLd7TsLD3QnTInzFjkNEZiZuwhgUlFThu70/4rUl02FjbSl2JLPSY2lPmDAB\nOTk5mDFjhj7y0H0IgoAfDmShQ6XG40lxkHGRGyLSM6lUisWJ4fjwqwPYdOAUls6ezHNq9KjHP/Xd\n3NyQkZGBjo4OfeSh+ziRX4SzheVYMD0MjgNsxI5DRGbKYYA1Fk4PxfmiChzPKxQ7jlnpsbSzsrIQ\nGxsLpVIJqVQKqVTKE9FEcP1GPXam5SHcfwT8Rg0VOw4RmbnxPkMxMWAkdqXn4/qNerHjmI0eS/vG\njRvQarV3/NJoNPrIRj9RqTvxza4TcHawxazYQLHjEBEBAGbGBMDZwRb/3n0Cai5zqhc9fqb9zjvv\n3PF5hUQigVKpxNixY5GUlKTTcHTL7vR81DY0Y+WT02Bh0eNbRkSkFwoLOR5Pmog136RgV3o+5k4N\nETuSyetxT7uoqAh79+6Fg4MD7O3tcfDgQaSlpeGzzz7Db3/7W31kNGsXrlTgeH4RHon2x6CB9mLH\nISK6g7uLA5JiAnA8vwhnC8rEjmPyetxtu3jxIo4cOQJLy1un9b/44ouIjo7GiRMn4O/vj/fff1/n\nIc1VU0s7Nu0/hdFegzA5iMuUEpFhigj0xuXiKvxwIAtDBznBwc5a7Egmq8c97fr6eqjV6q7fd3R0\noLn51tqzgiDoLpmZEwQBm/afgiAAi2ZwmVIiMlwSiQSLEsIgk0nx3d6T0LIbdKbHPe1f//rXCA0N\nRXJyMjQaDfbs2YOVK1fio48+4i06deh4fhEuXr2OpbMnw85GKXYcIqL7srG2xOLEcHz2QzqOZF1C\nTNgYsSOZpB5Le+XKlYiNjcWhQ4cgk8mwefNm+Pr6oqCgACtWrNBHRrNTVdOIXen5CPcfAV/vIWLH\nISLqFR9PN0QG+2Bf5ln4eA7CYFcHsSOZnF4tqXXmzBncvHkTTz/9NLKzswEAPj4+UCgUOg1njjo7\nNfh29wk42FkjmZd3EZGRSYzyx0BHW3y7h5eB6QLvp21g9h89i8qaBjyeFA4FL+8iIiNjIZfhsUcm\n4mZ9M/ZmnhE7jsnh/bQNSOG1amRkXcL0iPEY6uYkdhwioocy2NUBMyL9kJlTgMvFlWLHMSm8n7aB\naG3rwPd7T8JrqAtiw0aLHYeIqE+iQkZh5DBXbNx/Ci1tvHdFf+H9tA2AIAjYkpKNDnUnFidOgJR3\n7yIiIyeVSPDojAlQqTux5WA2LxHuJz22Q1JSEpKTk+Hi4oLMzEz86U9/wltvvaWPbGYj+3wJTl8u\nw9wpIbx7FxGZDIcB1pg3NQRnCsqQfb5E7Dgmodsznaqrq7FgwQKcPXsWPj4+kMlkOHz4MNra2hAZ\nGQkHB57K3x9qG5qx7VAOgsZ6IGish9hxiIj6VeAYD1y4UoHth3MwYuhAONnbih3JqHW7p/3rX/8a\nkZGRqKqqwsmTJ3Hy5ElUVVUhICAAr776qj4zmiytVovv9v4IaysF5kwJFjsOEZFOzIkPhtJSge/2\n/AitVit2HKPWbWmfPn0aq1evhoWFRdfXFAoF/vKXvyAnJ0cv4UxdRvZlFJffxKIZE6C05DXvRGSa\nlFYKPJo4ASUVN5F26qLYcYxat6WtVN576UypVPpAZ49XV1dj2LBhuHz5MgoLCxEZGYno6GisWLHC\nrE9MuH6jHvuPnkVUyCh4e7iKHYeISKdGDnNFTNhoHDh2DqWVtWLHMVo6PU1ZrVbj+eefh42NDQRB\nwKpVq7B69WpkZGRAEARs375dl5s3WJ0aDb7b+yOc7W0xY/J4seMQEenF9IjxGORsj+/2nISKq6U9\nlG5L+9y5cxg+fPg9f50/f75XL/7GG2/gxRdfhLu7OwAgJycH0dHRAIDExESkpKT0wwjG5+Cxc6iq\nacDiRybAgqueEZGZkMtlWPxIOOoaW7CPq6U9lG4b4/Lly3164Q0bNsDFxQXTp0/Hu+++C0EQ7jgc\nbmtri4aGhp4DymWwtzfMu1zJ5bc+JniQfEXXqpF26hJmxgbAd5Rx3gzkYeY2duY4M8C5OXf/s7dX\nYvaUIGw+kI0J/sMxeoS7zrbVG4b2Xv+cp9vvd/cNLy+vPm14/fr1kEgkSElJQV5eHpYuXYobN250\nfb+pqcnsLhtr71Bj/ZZMeA52RmK0n9hxiIhEMTViHPIvleKLbUfxnytmQWnFE3F7S2fHZtPT07v+\nPS4uDp9++ineeOMNpKenIyYmBnv37sWUKVN6fJ3OTg0aGtp0FbNPfv6bWW/zbU3JRl1jK5bOnozm\nZuNd1u9B5zYF5jgzwLk5t+7MnxqKD788gG92nMDChDCdb687hvZe29sroVB0X816Wy9TIpHgb3/7\nG/74xz8iIiICnZ2dWLBggb42L7pLV6/jeH4RkqL84eo0QOw4RESicnawRVKMP06dvYrzRRVixzEa\nejkLKjU1tevf09LS9LFJg9La1oGN+0/B28MVk4K8xY5DRGQQJvqPxLnCCvxwIAuvL0uAjdJS7EgG\nj3em0IOth3Kg7tRgUcIESCUSseMQERkEiUSChdNDodFosDWFi3b1Bktbx/IuXkP+pVLMiQ+GwwBr\nseMQERkUeztrzJ4SjNOXS5F38ZrYcQweS1uHGppasfVQDvx8hvJmIERE3Qga4wE/n6HYeigHDc2G\ncUKYoWJp64ggCNh0IAsyqRTzpoZAwsPiRET3JJFIMHdqMGRSCX44cMqsl7juCUtbR06cLsLl4kos\nmB4KG2ueXEFEdD+21laYPy0Ul65W4sczV8SOY7BY2jpQ29CM3emnETZ+OMaNHCx2HCIio+DrPQSh\nvl7YmZaP2oZmseMYJJZ2P9MKAjbuPwVrKwVmxgaIHYeIyKgkxwXC2kqB7/edgpaHye/C0u5nJ/IK\ncaX0BhZMD+U9somIHpDSUoGFCWG4WnYDmTl9uweGKWJp96Oa+mbszjiNcP8RGOU1SOw4RERGycfT\nDRGB3th35AyqahrFjmNQWNr95OfD4rbWlkiK4WFxIqK+eCTaH/Z21ti0/0dotVqx4xgMlnY/OZZb\ngKtlN7BgehisFBZixyEiMmoKCzkWJYSh9HotMrJ5mPxnLO1+cKOuCXuPnMGkgJHw8XQTOw4RkUkY\nPtQFk4N9cODoWR4m/wlLu4+0Wi027TsFW2srPBLtL3YcIiKTMiPSj4fJb8PS7qPDJy6guOImFs0I\ngyUPixMR9SseJr8TS7sPKm82YFtKLiICvTFymKvYcYiITNLth8mrzfwwOUv7IWm1Wnyx9Sjs7ZQ8\nLE5EpGM/HybfuP+UWR8mZ2k/pCPZl3Gl9AaWzp0MhYVc7DhERCbt/w6T1+CIGR8mZ2k/hKqaRuw/\nehbxE8dyERUiIj0ZPtQFEUE+2G/Gh8lZ2g9Io9Vi474fYW9njblTg8WOQ0RkVhKjzPswOUv7AWVk\nXUJZZS0enTEBCgUPixMR6ZPCQo6FCWG4ZqaHyVnaD6CqphEHj51DZMgoeA0ZKHYcIiKzNGKoCyab\n6WFylnYvabVa/LD/FOztrJEwebzYcYiIzNrPh8k3mdlhcpZ2Lx3LK0TJ9RosmB7Ks8WJiET282Hy\nkus1OJJTIHYcvWFp90JNfXPX2uJcRIWIyDDcOkzufeswea15HCZnafdAEAT8cCALNkpLJHIRFSIi\ng5IY5Y8BNla3DpMLgthxdI6l3YOTZ66gqLQa86eH8pabREQGRmEhx4LpYSipqMHx3EKx4+gcS/s+\n6htbsTs9H6G+XhjNRVSIiAySt4crwv1HYG/mGdQ2tIgdR6dY2t0QBAFbUrKhsJBjZmyg2HGIiOg+\nHon2h9LSApsPZkEw4cPkLO1u5FwowcWr1zF3SgisrRRixyEiovtQWiowd2oICkqqkHWuWOw4OsPS\nvoemljbsSM1DwOhhGO8zROw4RETUC+NGDkbgGA/sSstDY3Ob2HF0gqV9D9sO5UIqkWB2fJDYUYiI\n6AHMiguEVCrFtkM5JnmYnKX9C6cvl+JMQRlmxQXB1tpK7DhERPQAbK2tMDs+CGcLy3GmoEzsOP2O\npX2blrYObDuU89MhlmFixyEioocQMHoYxo4YjG2HctDS1iF2nH7F0r7NztQ8dGq0mDs1BBKJROw4\nRET0ECQSCeZNDUZnpxa70vLEjtOvWNo/uXClAjkXSpAcEwB7W6XYcYiIqA/s7ayRFBOA7PO3rgQy\nFSxtAG0dKmw5mA0fTzeEjh8udhwiIuoHE/yGw9vDFVsOZqO9Qy12nH6h09LWaDR4+umnERkZiaio\nKJw7dw65ubkYMmQI4uLiEBcXh40bN+oyQq/syTiNtg415k8L5WFxIiITIZFIMH9aKFraOrD3yGmx\n4/QLnd5jcteuXZBKpcjMzER6ejreeustJCcn4/XXX8eqVat0ueleK7xWjZOnr2B2fBCc7G3EjkNE\nRP3I2cEWMyL9sDMtDwFjPDBiqIvYkfpEp3vas2fPxtq1awEAxcXFcHBwQHZ2Nnbv3o2YmBg8++yz\naG5u1mWE+1KrO7H5YBY8BztjUqC3aDmIiEh3Jgd5w8PdGT8cOAW1ulPsOH0iEfRw9fmyZcuwbds2\nbNq0CeXl5QgICEBQUBBWr16Nuro6fPDBB90+V6sV0Nmp0UmurQezkXLsPN56MRmDXR0e+PlyuQwA\ndJbPUJnj3OY4M8C5ObfpqKiux1/+ZyfiJ43D/OkhXV83tJnlchmk0u4/ptXLiWgbNmzApUuX8Nxz\nz2H69OkICrq10ticOXOQm5urjwh3Kb1eiwNHzyEx2v+hCpuIiIzHYFcHPBLjj4NHz6G4/KbYcR6a\nTj/T/uqrr1BWVoY333wTSqUSUqkU8+bNw5o1axAWFoZDhw4hNDT0vq/R2alBQ0P/riGr0Wqxfksm\nXBztMClg5EO/vr39rUvD+jufoTPHuc1xZoBzc27TMsnfG6fOXMWGLZlY+cQ0yGRSg5vZ3l4JhaL7\natZpaS9YsADLli1DTEwM1Go1/v73v8PDwwMvvfQSLCws4O7ujnXr1ukywj1l5hSgoqoOKx6Lh1wm\n0/v2iYhI/2QyKRZMD8M//n0I6VmXEB8+VuxID0ynpa1UKvH999/f9fXMzExdbva+auqbceDoWUwK\n9Ibn4IGi5SAiIv0bNsgJkcE+SDl+Dn6jhnbtaRsLs1pcRRAEbEnJhrWVAjOi/MSOQ0REIpge4Qs7\nGytsOZhtdHcCM6vSzjlfgoKSKsydGgIrhYXYcYiISASWCgvMmxqCotJqHMstFDvOAzGb0m5ubb91\ncf3oYRg3crDYcYiISESjh7sjcIwHftifhcZmwzgJrTfMprR3pOZBADArLkjsKEREZABmxQVCAgm+\n3/Oj2FF6zSxK+8KVCuRdvIbkmADY2ViJHYeIiAyArbUVFs4IRdbZYly4UiF2nF4x+dJuV6mxNSUH\n3h6uCPH1EjsOEREZkImBIzF2hDu2puSgXWX4dwIz+dLen3kWLW0dvIMXERHdRSKR4IlZE9HS1oH9\nmWfFjtMjky7tkooaHMstwLQIXzg72Iodh4iIDJCL0wBMm+SLY7kFuHa9Ruw492Wypd2p0eCHA6fg\n7uqAqJBRYschIiIDFhU6Cu4uDvjhQBY0Gq3YcbplsqWd9uNF3KhtwoLpYZBJTXZMIiLqBzKpFAsS\nQlFV04j0rItix+mWSbZZVU0jDp28gOjQURjq5ih2HCIiMgJD3X5e4vQ8btQ2iR3nnkyutLWCgM0H\nsuBgZ42pk3zFjkNEREYkYfJ42NkosTklyyCXODW50j55ugjFFTcxb2oIFBY6vR8KERGZGIWFHPOm\nheBK6Q1knb0qdpy7mFRpNza3Ye+RMwjx9YKPp5vYcYiIyAiN9hqEoLEe2JVxGk0t7WLHuYNJlfaO\n1DxIJRLMjA4QOwoRERmx5NhASADsSM0VO8odTKa0L1y5jtOXS5EcGwgba0ux4xARkRGztbZCcmwg\n8i+VGtQSpyZR2ip1J7Ydyoa3hyuCx3mKHYeIiExA8DhP+Hi6GdQSpyZR2geOnUNTSzvmTgnhUqVE\nRNQvJBIJ5k0NQXNbBw4cNYwlTo2+tCuq65CZfRnx4WPh4mQndhwiIjIhzg62mDZxHI7mFqKsqk7s\nOMZd2lqtFpsPZmOgox1iw8aIHYeIiExQdOhouDrZYfPBLGi14i5xatSlfTy/CKWVtZg3LQRyuUzs\nOEREZIJkMinmTwtFeVUdjuUViprFaEu7oakV+zLPIGz8cIwY6iJ2HCIiMmFeQwYi3H8E9h89i/qm\nVtFyGG1pb0/NhVwmQ1K0v9hRiIjIDCRG+cNCLhP12m2jLO1zheU4W1CO5LhAWCt5TTYREemetZUC\nybGBOFtQjnOF5aJkMLrS7lCpsf1wLnw83RA0xkPsOEREZEYCx3jAx9MN2w/nokOEa7eNrrQPHDuH\n5rYOzJvKa7KJiEi/br92++Cxc3rfvlGVdllVHTJzCjB14jg4O9iKHYeIiMyQs4MtpoSPRWZOAcr1\nfO220ZS2RqvF5oNZcHWyQ3ToKLHjEBGRGYsJG42BjnbYkpKt12u3jaa0j+cVoryqDvOnhUIu4zXZ\nREQkHrlMhvnTQlBaWYsT+UV6265RlHZ9Yyv2ZZ5FuP8IeA0ZKHYcIiIiDB/qgrDxw7E38wwamtv0\nsk2jKO3th3OgsJAjMYrXZBMRkeFIivaHXKa/a7cNvrRzz5fgXFEFZsUFwtpKIXYcIiKiLtZKSyTH\nBuLM5TK93Hfb4Ev7uz0/YrTXIASMHiZ2FCIiorsEjfWAt4crth3KgUrdqdNtGXxpt7R1YO7UYF6T\nTUREBkkikWDulBA0tbTr/Nptgy/t5NhAONnzmmwiIjJcLk52iA8fiyPZl1FRXa+z7Rh8aU+bPE7s\nCERERD2KDRsDZwdbbEnR3X23dVraGo0GTz/9NCIjIxEVFYVz586hsLAQkZGRiI6OxooVKyAIwv0D\nSg3+7xVERESQy2WYNy0E167X4uTpKzrZhk4bcdeuXZBKpcjMzMSf//xn/P73v8frr7+O1atXIyMj\nA4IgYPv27bqMQEREpDcjh7ki1NcLe4+cQaMOrt3WaWnPnj0ba9euBQAUFxfD0dER2dnZiI6OBgAk\nJiYiJSVFlxGIiIj0KikmADKZFDvT8vr9teX9/oq/IJPJsGzZMmzbtg2bNm3CwYMHu75na2uLhoaG\n+weUy2Bvr9R1zIcil99aTtVQ8+mKOc5tjjMDnJtzmz5dzGxvr8T8hBB8ue0YYsPHYJz34AfO0x29\nfGC8YcMGXLp0Cc8++yza29u7vt7U1AQHBwd9RCAiItKbSYHe8PZwxbe7TkCt1vTb6+p0T/urr75C\nWVkZ3nzzTSiVSshkMoSGhiI9PR0xMTHYu3cvpkyZct/X6OzUoKFBP2u6Pqif/2ZmqPl0xRznNseZ\nAc7NuU2fLmeeFReEj746gO2HcjFtkm+v8ygU3VezTkt7wYIFWLZsGWJiYqBWq/H3v/8dY8aMwXPP\nPQeVSoVx48ZhwYIFuoxAREQkikED7REVPAqpJy8gaIwHBjra9fk1dVraSqUS33///V1fT0tL0+Vm\niYiIDMLUSeOQf6kU2w7n4pl5UX1e3ZMXQRMREemIpcICs+ICcbm4EmcKyvr8eixtIiIiHfL1HoIx\nI9yxMzUP7Sp1n16LpU1ERKRDEokEc+KD0NKu6vMNRVjaREREOuZkb4sp4WNxNKegTzcUYWkTERHp\nQUzoaDg72GJrSja0Pdx3ozssbSIiIj2Qy2WYOzUYJddrcOrM1Yd6DZY2ERGRnnh7uCForAf2HjmN\nltaOB34+S5uIiEiPZsYEQCsI2J2R/8DPZWkTERHpkZ2NEjMmj0fWuWJcLbvxQM9laRMREenZxICR\nGOrmiK2HcqDRaHv9PJY2ERGRnkmlUsydGoKqmw3IzLnc++fpMBMRERF1Y9ggJ0wK9MbB4+dR39ja\nq+ewtImIiESSMHk8FBZy7EjL7dXjWdpEREQiUVopMDM2AGcLynHhyvUeH8/SJiIiElHQGA94e7hi\n++EcqFSd930sS5uIiEhEt24oEoyGpjbsyTh938eytImIiETm6jwAMWGjceDo/e8CxtImIiIyAPHh\nY+FgZ33fx7C0iYiIDIDCQo7HksLv+xiWNhERkYHwGz30vt9naRMRERkJljYREZGRYGkTEREZCZY2\nERGRkWBpExERGQmWNhERkZFgaRMRERkJljYREZGRYGkTEREZCZY2ERGRkWBpExERGQmWNhERkZFg\naRMRERkJljYREZGRYGkTEREZCZY2ERGRkdBZaavVaixZsgTR0dEIDw/Hzp07kZubiyFDhiAuLg5x\ncXHYuHGjrjZPRERkcuS6euFvvvkGLi4u+Oqrr1BXV4eAgAD88Y9/xOuvv45Vq1bparNEREQmS2d7\n2gsXLsSf/vQnAIBWq4WFhQWys7Oxe/duxMTE4Nlnn0Vzc7OuNq836enpYkcQhTnObY4zA5zb3Jjj\n3MY0s0QQBEGXG2hqasLs2bPxq1/9Cu3t7QgICEBQUBBWr16Nuro6fPDBB/d9vlYroLNTo8uID00u\nl6GkpBhDhgwTO4pemePc5jgzwLk5t+kztJnlchmkUkn339flxktLSzFv3jy89NJLWLx4MRoaGmBv\nbw8AmDNnDlauXNnja0ilEigUOo3ZJyUlJRg+fLjYMfTOHOc2x5kBzm1uzHFuY5pZZ3vaVVVViI2N\nxSeffIK4uDgAwKRJk/Dxxx8jLCwMa9asQXl5Od577z1dbJ6IiMjk6Ky0X3nlFWzatAmjR4/u+tp7\n772H119/HRYWFnB3d8e6detga2uri80TERGZHJ1/pk1ERET9g4ur3MPJkye7DulXV1dj9uzZiImJ\nQXR0NIqLi+94rFarxQsvvICIiAjExcWhqKgIAFBYWIjIyEhER0djxYoVMIa/G90+9+LFi7uup/fy\n8sLjjz9+x2NNde6LFy8iMjISUVFReOaZZ+7Kbypz3z5zfn4+Jk2ahKioKDz99NNQqVR3PNZUZr7X\n2oUkm5EAAAT8SURBVBE9zWDss99r5p+99tprWLt27V3PMfaZgXvPnZeXh+joaMTFxWHGjBmorq6+\n4zlGM7dAd/jrX/8q+Pn5CZMmTRIEQRCWLl0qbNq0SRAEQUhNTRV27tx5x+M3b94sLF++XBAEQThx\n4oQwe/ZsQRAEITk5WUhPTxcEQRBeeOEFYevWrfoa4aH8cu6f1dXVCYGBgUJlZeUdXzfVuR999FFh\n7969giAIwhNPPGGS7/cvZw4NDRWOHz8uCIIgvP3228KHH354x+NNYWZBEIT169cLr732miAIglBb\nWysMGzZMmDVr1n1nMPbZfzmzh4eHcOPGDWHGjBnCyJEjhbVr1971HGOfWRDu/V7HxsYK+fn5giAI\nwtq1a4VVq1bd8RxjmZt72r/g7e2NLVu2dP1t6tixYygtLcW0adPwzTffID4+HgCwdOlSlJaW4ujR\no5gxYwYAIDw8HFlZWQCAnJwcREdHAwASExORkpIiwjS998u5f/aHP/wBK1euhJubGwDTn1upVKKm\npgaCIKCpqQkKhQKAac39y5nLysowceJEAEBERETXNaumNDNw77UjupvBVGb/5cxyuRwtLS145513\nsGTJkjv+fzeVmYF7v9ffffcd/P39AdzaE1cqlQCMb26W9i/MmzcPcvn/XWJWXFwMJycnHDx4EB4e\nHvjrX/8KAPjiiy8wbNgwNDY2YsCAAV2Pl8lk0Gg0d/zPYGtri4aGBv0N8RB+OTdw66OBw4cPY9my\nZV1fM/W5X375ZbzyyisYN24cqqurERMTA8C05v7lzCNGjMD/b+fuWRqJ4iiMH7X0BSWCpNJem2l8\nGZWIaCSCdoKiprHRJiB+gRSKYJ/aRgvBLjEWKoQExNZKtJGQykKIDIrVnC0WZzObgWyxrHsv5wcp\nkjuBedL8k5tJyuUyACCfz+P9/R2AXc0A0NnZia6uLnieh5WVFezv78P3/WC9scGW9t+bDw4OMDg4\niNHR0aZjbWkGoru/Pnjc3t4il8thd3cXgHndGtotxGIxLC8vAwCWlpaCd19fenp64HlecN/3fXR0\ndKC9/ddL63keent7/80J/0Xn5+dYX19HW1vzD/1t7d7Y2EClUsHDwwM2Nzext7cXWrex+/j4GIeH\nh5ibm8PAwAD6+/tD6zY112o1zM7OIp1OY21trWWDDe2Nzaurqy2Pt6EZiO4+OzvDzs4OisUiYrFY\n6HhTujW0W5iamsLFxQWAn391NzIyElqfnJxEsVgEANzd3QXbL47jBNuMl5eXwfaKSW5ubpBKpSLX\nbO3++PhAd3c3ACAej6Ner4fWbewuFAo4PT3F9fU1Xl9fsbCwEFq3pfnl5QXJZBJHR0fB7lGrBtPb\no5pbMb0ZiO4+OTlBLpdDqVTC0NBQ03OM6f6Wb9L/c8/Pz8FFOtVqlfPz83Rdl4uLi6zX6yTJdDrN\nWq1G3/e5vb1N13Xpui4fHx9Jkk9PT0wkEpyYmODW1hZ93/+2nj/V2E2Sw8PDfHt7Cx1je/fV1RXH\nxsaYSCSYTCZZrVZJ2tfd2JzP5+k4DsfHx5nJZIJzt605k8kwHo9zZmYmuN3f30c22NIe1fz5+UmS\nzGazoQvRbGkmm7unp6fZ19dHx3GCx7LZLEnzuvU7bREREUNoe1xERMQQGtoiIiKG0NAWERExhIa2\niIiIITS0RUREDKGhLSIiYggNbREREUNoaIuIiBjiB3aoL5D+maM6AAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x10fae9510>" ] } ], "prompt_number": 45 }, { "cell_type": "code", "collapsed": false, "input": [ "print(sunel.index[374])\n", "sunel[374]" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "2013-09-21 21:35:00\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 58, "text": [ "38.5615576346569" ] } ], "prompt_number": 58 }, { "cell_type": "code", "collapsed": false, "input": [ "col = 'ctl az'\n", "ax = sas1[col].plot()\n", "ax.set_title(\"PYAS-F\")\n", "ax = sas2[col].plot(label = 'pyas-r')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAeYAAAFSCAYAAAAnyHysAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nA/Hy3P5LEcVRAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x10cc4e310>" ] } ], "prompt_number": 27 }, { "cell_type": "code", "collapsed": false, "input": [ "data['ctl el'].plot()" ], "language": "python", "metadata": {}, "outputs": [ { "ename": "NameError", "evalue": "name 'data' is not defined", "output_type": "pyerr", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m\n\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-28-2fc86d814966>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[0;32m----> 1\u001b[0;31m \u001b[0mdata\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m'ctl el'\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mplot\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;31mNameError\u001b[0m: name 'data' is not defined" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "ERROR: NameError: name 'data' is not defined [IPython.core.interactiveshell]\n" ] } ], "prompt_number": 28 }, { "cell_type": "code", "collapsed": false, "input": [ "data" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "data['offset x'].plot()" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The jump in the data is due to the fact that we reset SAS-2 to fix RAS. The reset set the solar target back to [0,0]. This was eventually corrected." ] }, { "cell_type": "code", "collapsed": false, "input": [ "data['offset y'].plot()" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "data['pointing x'].plot()" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "data['pointing y'].plot()" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
unlicense
WenmuZhou/cifar-10-cnn
3_Vgg19_Network/.ipynb_checkpoints/Vgg19_retrain_WHE_wd-checkpoint.ipynb
1
44628
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# use vgg19 to train the cifar-10" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# import pakages" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Using TensorFlow backend.\n" ] } ], "source": [ "import os\n", "import keras\n", "import numpy as np\n", "import tensorflow as tf\n", "from keras.datasets import cifar10\n", "from keras.preprocessing.image import ImageDataGenerator\n", "from keras.models import Sequential\n", "from keras.layers import Dense, Dropout, Activation, Flatten\n", "from keras.layers import Conv2D, MaxPooling2D, GlobalAveragePooling2D, AveragePooling2D\n", "from keras.initializers import he_normal\n", "from keras import optimizers\n", "from keras.callbacks import LearningRateScheduler, TensorBoard\n", "from keras.utils.data_utils import get_file" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# force to use gpu and limit the use of gpu memory" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "os.environ[\"CUDA_VISIBLE_DEVICES\"] = \"3\"\n", "from keras.backend.tensorflow_backend import set_session\n", "config = tf.ConfigProto()\n", "config.gpu_options.per_process_gpu_memory_fraction = 1.0\n", "set_session(tf.Session(config=config))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# init some parameters" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "num_classes = 10\n", "batch_size = 128\n", "epochs = 170\n", "iterations = 391\n", "dropout = 0.5\n", "weight_decay = 0.0015\n", "log_filepath = r'./vgg19_retrain_WHE_wd/'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# do some precessing with images" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def color_preprocessing(x_train,x_test):\n", " x_train = x_train.astype('float32')\n", " x_test = x_test.astype('float32')\n", " # data preprocessing \n", " x_train[:,:,:,0] = (x_train[:,:,:,0]-123.680)\n", " x_train[:,:,:,1] = (x_train[:,:,:,1]-116.779)\n", " x_train[:,:,:,2] = (x_train[:,:,:,2]-103.939)\n", " x_test[:,:,:,0] = (x_test[:,:,:,0]-123.680)\n", " x_test[:,:,:,1] = (x_test[:,:,:,1]-116.779)\n", " x_test[:,:,:,2] = (x_test[:,:,:,2]-103.939)\n", "\n", " return x_train, x_test" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# set the learning rate changes strategy" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def scheduler(epoch):\n", " learning_rate_init = 0.1\n", " if epoch > 80:\n", " learning_rate_init = 0.01\n", " if epoch > 120:\n", " learning_rate_init = 0.001\n", " return learning_rate_init" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# define network" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def VGG19():\n", " model = Sequential()\n", "\n", " # Block 1\n", " model.add(Conv2D(64, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block1_conv1', input_shape=x_train.shape[1:]))\n", " model.add(Activation('relu'))\n", " model.add(Conv2D(64, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block1_conv2'))\n", " model.add(Activation('relu'))\n", " model.add(MaxPooling2D((2, 2), strides=(2, 2), name='block1_pool'))\n", "\n", " # Block 2\n", " model.add(Conv2D(128, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block2_conv1'))\n", " model.add(Activation('relu'))\n", " model.add(Conv2D(128, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block2_conv2'))\n", " model.add(Activation('relu'))\n", " model.add(MaxPooling2D((2, 2), strides=(2, 2), name='block2_pool'))\n", "\n", " # Block 3\n", " model.add(Conv2D(256, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block3_conv1'))\n", " model.add(Activation('relu'))\n", " model.add(Conv2D(256, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block3_conv2'))\n", " model.add(Activation('relu'))\n", " model.add(Conv2D(256, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block3_conv3'))\n", " model.add(Activation('relu'))\n", " model.add(Conv2D(256, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block3_conv4'))\n", " model.add(Activation('relu'))\n", " model.add(MaxPooling2D((2, 2), strides=(2, 2), name='block3_pool'))\n", "\n", " # Block 4\n", " model.add(Conv2D(512, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block4_conv1'))\n", " model.add(Activation('relu'))\n", " model.add(Conv2D(512, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block4_conv2'))\n", " model.add(Activation('relu'))\n", " model.add(Conv2D(512, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block4_conv3'))\n", " model.add(Activation('relu'))\n", " model.add(Conv2D(512, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block4_conv4'))\n", " model.add(Activation('relu'))\n", " model.add(MaxPooling2D((2, 2), strides=(2, 2), name='block4_pool'))\n", "\n", " # Block 5\n", " model.add(Conv2D(512, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block5_conv1'))\n", " model.add(Activation('relu'))\n", " model.add(Conv2D(512, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block5_conv2'))\n", " model.add(Activation('relu'))\n", " model.add(Conv2D(512, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block5_conv3'))\n", " model.add(Activation('relu'))\n", " model.add(Conv2D(512, (3, 3), padding='same', kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='block5_conv4'))\n", " model.add(Activation('relu'))\n", "\n", " # model modification for cifar-10\n", " model.add(Flatten(name='flatten'))\n", " model.add(Dense(4096, use_bias = True, kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='fc_cifa10'))\n", " model.add(Activation('relu'))\n", " model.add(Dropout(dropout))\n", " model.add(Dense(4096, kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='fc2')) \n", " model.add(Activation('relu'))\n", " model.add(Dropout(dropout)) \n", " model.add(Dense(10, kernel_regularizer=keras.regularizers.l2(weight_decay), kernel_initializer=he_normal(), name='predictions_cifa10')) \n", " model.add(Activation('softmax'))\n", " \n", " return model" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# load data and build model" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "_________________________________________________________________\n", "Layer (type) Output Shape Param # \n", "=================================================================\n", "block1_conv1 (Conv2D) (None, 32, 32, 64) 1792 \n", "_________________________________________________________________\n", "activation_1 (Activation) (None, 32, 32, 64) 0 \n", "_________________________________________________________________\n", "block1_conv2 (Conv2D) (None, 32, 32, 64) 36928 \n", "_________________________________________________________________\n", "activation_2 (Activation) (None, 32, 32, 64) 0 \n", "_________________________________________________________________\n", "block1_pool (MaxPooling2D) (None, 16, 16, 64) 0 \n", "_________________________________________________________________\n", "block2_conv1 (Conv2D) (None, 16, 16, 128) 73856 \n", "_________________________________________________________________\n", "activation_3 (Activation) (None, 16, 16, 128) 0 \n", "_________________________________________________________________\n", "block2_conv2 (Conv2D) (None, 16, 16, 128) 147584 \n", "_________________________________________________________________\n", "activation_4 (Activation) (None, 16, 16, 128) 0 \n", "_________________________________________________________________\n", "block2_pool (MaxPooling2D) (None, 8, 8, 128) 0 \n", "_________________________________________________________________\n", "block3_conv1 (Conv2D) (None, 8, 8, 256) 295168 \n", "_________________________________________________________________\n", "activation_5 (Activation) (None, 8, 8, 256) 0 \n", "_________________________________________________________________\n", "block3_conv2 (Conv2D) (None, 8, 8, 256) 590080 \n", "_________________________________________________________________\n", "activation_6 (Activation) (None, 8, 8, 256) 0 \n", "_________________________________________________________________\n", "block3_conv3 (Conv2D) (None, 8, 8, 256) 590080 \n", "_________________________________________________________________\n", "activation_7 (Activation) (None, 8, 8, 256) 0 \n", "_________________________________________________________________\n", "block3_conv4 (Conv2D) (None, 8, 8, 256) 590080 \n", "_________________________________________________________________\n", "activation_8 (Activation) (None, 8, 8, 256) 0 \n", "_________________________________________________________________\n", "block3_pool (MaxPooling2D) (None, 4, 4, 256) 0 \n", "_________________________________________________________________\n", "block4_conv1 (Conv2D) (None, 4, 4, 512) 1180160 \n", "_________________________________________________________________\n", "activation_9 (Activation) (None, 4, 4, 512) 0 \n", "_________________________________________________________________\n", "block4_conv2 (Conv2D) (None, 4, 4, 512) 2359808 \n", "_________________________________________________________________\n", "activation_10 (Activation) (None, 4, 4, 512) 0 \n", "_________________________________________________________________\n", "block4_conv3 (Conv2D) (None, 4, 4, 512) 2359808 \n", "_________________________________________________________________\n", "activation_11 (Activation) (None, 4, 4, 512) 0 \n", "_________________________________________________________________\n", "block4_conv4 (Conv2D) (None, 4, 4, 512) 2359808 \n", "_________________________________________________________________\n", "activation_12 (Activation) (None, 4, 4, 512) 0 \n", "_________________________________________________________________\n", "block4_pool (MaxPooling2D) (None, 2, 2, 512) 0 \n", "_________________________________________________________________\n", "block5_conv1 (Conv2D) (None, 2, 2, 512) 2359808 \n", "_________________________________________________________________\n", "activation_13 (Activation) (None, 2, 2, 512) 0 \n", "_________________________________________________________________\n", "block5_conv2 (Conv2D) (None, 2, 2, 512) 2359808 \n", "_________________________________________________________________\n", "activation_14 (Activation) (None, 2, 2, 512) 0 \n", "_________________________________________________________________\n", "block5_conv3 (Conv2D) (None, 2, 2, 512) 2359808 \n", "_________________________________________________________________\n", "activation_15 (Activation) (None, 2, 2, 512) 0 \n", "_________________________________________________________________\n", "block5_conv4 (Conv2D) (None, 2, 2, 512) 2359808 \n", "_________________________________________________________________\n", "activation_16 (Activation) (None, 2, 2, 512) 0 \n", "_________________________________________________________________\n", "flatten (Flatten) (None, 2048) 0 \n", "_________________________________________________________________\n", "fc_cifa10 (Dense) (None, 4096) 8392704 \n", "_________________________________________________________________\n", "activation_17 (Activation) (None, 4096) 0 \n", "_________________________________________________________________\n", "dropout_1 (Dropout) (None, 4096) 0 \n", "_________________________________________________________________\n", "fc2 (Dense) (None, 4096) 16781312 \n", "_________________________________________________________________\n", "activation_18 (Activation) (None, 4096) 0 \n", "_________________________________________________________________\n", "dropout_2 (Dropout) (None, 4096) 0 \n", "_________________________________________________________________\n", "predictions_cifa10 (Dense) (None, 10) 40970 \n", "_________________________________________________________________\n", "activation_19 (Activation) (None, 10) 0 \n", "=================================================================\n", "Total params: 45,239,370\n", "Trainable params: 45,239,370\n", "Non-trainable params: 0\n", "_________________________________________________________________\n", "None\n" ] } ], "source": [ "(x_train, y_train), (x_test, y_test) = cifar10.load_data()\n", "y_train = keras.utils.to_categorical(y_train, num_classes)\n", "y_test = keras.utils.to_categorical(y_test, num_classes)\n", "# color preprocessing\n", "x_train, x_test = color_preprocessing(x_train, x_test)\n", "\n", "# build network\n", "WEIGHTS_PATH = 'https://github.com/fchollet/deep-learning-models/releases/download/v0.1/vgg19_weights_tf_dim_ordering_tf_kernels.h5'\n", "filepath = get_file('vgg19_weights_tf_dim_ordering_tf_kernels.h5', WEIGHTS_PATH, cache_subdir='models')\n", "\n", "model = VGG19()\n", "print(model.summary())\n", "\n", "# load pretrained weight from VGG19 by name \n", "model.load_weights(filepath, by_name=True)\n", "\n", "# -------- optimizer setting -------- #\n", "sgd = optimizers.SGD(lr=.1, momentum=0.9, nesterov=True)\n", "model.compile(loss='categorical_crossentropy', optimizer=sgd, metrics=['accuracy'])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# set tensorboard" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "tb_cb = TensorBoard(log_dir=log_filepath, histogram_freq=0)\n", "change_lr = LearningRateScheduler(scheduler)\n", "cbks = [change_lr,tb_cb]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# processing images" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Using real-time data augmentation.\n" ] } ], "source": [ "print('Using real-time data augmentation.')\n", "datagen = ImageDataGenerator(horizontal_flip=True,\n", " width_shift_range=0.125,height_shift_range=0.125,fill_mode='constant',cval=0.)\n", "\n", "datagen.fit(x_train)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# train" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Epoch 1/170\n", "391/391 [==============================] - 48s - loss: 6.8878 - acc: 0.6139 - val_loss: 3.5075 - val_acc: 0.4492\n", "Epoch 2/170\n", "391/391 [==============================] - 45s - loss: 2.1108 - acc: 0.6682 - val_loss: 2.2759 - val_acc: 0.4886\n", "Epoch 3/170\n", "391/391 [==============================] - 45s - loss: 1.6630 - acc: 0.6808 - val_loss: 2.8778 - val_acc: 0.3666\n", "Epoch 4/170\n", "391/391 [==============================] - 45s - loss: 1.6069 - acc: 0.6889 - val_loss: 2.2547 - val_acc: 0.4986\n", "Epoch 5/170\n", "391/391 [==============================] - 45s - loss: 1.5893 - acc: 0.6978 - val_loss: 1.7269 - val_acc: 0.6550\n", "Epoch 6/170\n", "391/391 [==============================] - 45s - loss: 1.5958 - acc: 0.7011 - val_loss: 2.6924 - val_acc: 0.3892\n", "Epoch 7/170\n", "391/391 [==============================] - 45s - loss: 1.5822 - acc: 0.7062 - val_loss: 2.4918 - val_acc: 0.4452\n", "Epoch 8/170\n", "391/391 [==============================] - 45s - loss: 1.5929 - acc: 0.7060 - val_loss: 2.1708 - val_acc: 0.5210\n", "Epoch 9/170\n", "391/391 [==============================] - 45s - loss: 1.5911 - acc: 0.7083 - val_loss: 1.9936 - val_acc: 0.5769\n", "Epoch 10/170\n", "391/391 [==============================] - 45s - loss: 1.5936 - acc: 0.7140 - val_loss: 2.0213 - val_acc: 0.5507\n", "Epoch 11/170\n", "391/391 [==============================] - 45s - loss: 1.5887 - acc: 0.7147 - val_loss: 2.2894 - val_acc: 0.4830\n", "Epoch 12/170\n", "391/391 [==============================] - 45s - loss: 1.5989 - acc: 0.7148 - val_loss: 3.1557 - val_acc: 0.3229\n", "Epoch 13/170\n", "391/391 [==============================] - 45s - loss: 1.5874 - acc: 0.7194 - val_loss: 2.4012 - val_acc: 0.5076\n", "Epoch 14/170\n", "391/391 [==============================] - 45s - loss: 1.6052 - acc: 0.7156 - val_loss: 3.7248 - val_acc: 0.2863\n", "Epoch 15/170\n", "391/391 [==============================] - 45s - loss: 1.5982 - acc: 0.7158 - val_loss: 2.7553 - val_acc: 0.3899\n", "Epoch 16/170\n", "391/391 [==============================] - 45s - loss: 1.6067 - acc: 0.7190 - val_loss: 2.3964 - val_acc: 0.4573\n", "Epoch 17/170\n", "391/391 [==============================] - 45s - loss: 1.6110 - acc: 0.7228 - val_loss: 2.5093 - val_acc: 0.4269\n", "Epoch 18/170\n", "391/391 [==============================] - 45s - loss: 1.6119 - acc: 0.7208 - val_loss: 2.9080 - val_acc: 0.4681\n", "Epoch 19/170\n", "391/391 [==============================] - 45s - loss: 1.6164 - acc: 0.7209 - val_loss: 2.1174 - val_acc: 0.5694\n", "Epoch 20/170\n", "391/391 [==============================] - 44s - loss: 1.6058 - acc: 0.7242 - val_loss: 2.7051 - val_acc: 0.3764\n", "Epoch 21/170\n", "391/391 [==============================] - 44s - loss: 1.6146 - acc: 0.7236 - val_loss: 3.2420 - val_acc: 0.3711\n", "Epoch 22/170\n", "391/391 [==============================] - 45s - loss: 1.6265 - acc: 0.7227 - val_loss: 2.4697 - val_acc: 0.4456\n", "Epoch 23/170\n", "391/391 [==============================] - 45s - loss: 1.6153 - acc: 0.7249 - val_loss: 2.4554 - val_acc: 0.4455\n", "Epoch 24/170\n", "391/391 [==============================] - 44s - loss: 1.6359 - acc: 0.7216 - val_loss: 2.8173 - val_acc: 0.3943\n", "Epoch 25/170\n", "391/391 [==============================] - 44s - loss: 1.6264 - acc: 0.7268 - val_loss: 2.0038 - val_acc: 0.5731\n", "Epoch 26/170\n", "391/391 [==============================] - 45s - loss: 1.6331 - acc: 0.7253 - val_loss: 2.4279 - val_acc: 0.4687\n", "Epoch 27/170\n", "391/391 [==============================] - 45s - loss: 1.6361 - acc: 0.7252 - val_loss: 2.9963 - val_acc: 0.3576\n", "Epoch 28/170\n", "391/391 [==============================] - 45s - loss: 1.6291 - acc: 0.7271 - val_loss: 2.4251 - val_acc: 0.5070\n", "Epoch 29/170\n", "391/391 [==============================] - 44s - loss: 1.6352 - acc: 0.7280 - val_loss: 2.4878 - val_acc: 0.4652\n", "Epoch 30/170\n", "391/391 [==============================] - 44s - loss: 1.6241 - acc: 0.7294 - val_loss: 2.2547 - val_acc: 0.5298\n", "Epoch 31/170\n", "391/391 [==============================] - 44s - loss: 1.6290 - acc: 0.7270 - val_loss: 2.0977 - val_acc: 0.5632\n", "Epoch 32/170\n", "391/391 [==============================] - 45s - loss: 1.6315 - acc: 0.7270 - val_loss: 2.7400 - val_acc: 0.3834\n", "Epoch 33/170\n", "391/391 [==============================] - 44s - loss: 1.6283 - acc: 0.7262 - val_loss: 2.0775 - val_acc: 0.5628\n", "Epoch 34/170\n", "391/391 [==============================] - 44s - loss: 1.6155 - acc: 0.7297 - val_loss: 2.4855 - val_acc: 0.4750\n", "Epoch 35/170\n", "391/391 [==============================] - 45s - loss: 1.6210 - acc: 0.7299 - val_loss: 2.5215 - val_acc: 0.4396\n", "Epoch 36/170\n", "391/391 [==============================] - 45s - loss: 1.6286 - acc: 0.7279 - val_loss: 3.1068 - val_acc: 0.3895\n", "Epoch 37/170\n", "391/391 [==============================] - 45s - loss: 1.6404 - acc: 0.7284 - val_loss: 2.4683 - val_acc: 0.4433\n", "Epoch 38/170\n", "391/391 [==============================] - 45s - loss: 1.6233 - acc: 0.7314 - val_loss: 2.2438 - val_acc: 0.5579\n", "Epoch 39/170\n", "391/391 [==============================] - 45s - loss: 1.6292 - acc: 0.7286 - val_loss: 2.1861 - val_acc: 0.5306\n", "Epoch 40/170\n", "391/391 [==============================] - 45s - loss: 1.6136 - acc: 0.7328 - val_loss: 2.4955 - val_acc: 0.4440\n", "Epoch 41/170\n", "391/391 [==============================] - 45s - loss: 1.6273 - acc: 0.7292 - val_loss: 2.6906 - val_acc: 0.4575\n", "Epoch 42/170\n", "391/391 [==============================] - 45s - loss: 1.6268 - acc: 0.7293 - val_loss: 2.3609 - val_acc: 0.4941\n", "Epoch 43/170\n", "391/391 [==============================] - 45s - loss: 1.6183 - acc: 0.7318 - val_loss: 2.4815 - val_acc: 0.4693\n", "Epoch 44/170\n", "391/391 [==============================] - 45s - loss: 1.6233 - acc: 0.7293 - val_loss: 2.3069 - val_acc: 0.4750\n", "Epoch 45/170\n", "391/391 [==============================] - 45s - loss: 1.6239 - acc: 0.7300 - val_loss: 2.5219 - val_acc: 0.4626\n", "Epoch 46/170\n", "391/391 [==============================] - 45s - loss: 1.6120 - acc: 0.7337 - val_loss: 2.0765 - val_acc: 0.5481\n", "Epoch 47/170\n", "391/391 [==============================] - 44s - loss: 1.6070 - acc: 0.7325 - val_loss: 2.5972 - val_acc: 0.4426\n", "Epoch 48/170\n", "391/391 [==============================] - 45s - loss: 1.6140 - acc: 0.7302 - val_loss: 2.3313 - val_acc: 0.5036\n", "Epoch 49/170\n", "391/391 [==============================] - 45s - loss: 1.6109 - acc: 0.7314 - val_loss: 2.4352 - val_acc: 0.4775\n", "Epoch 50/170\n", "391/391 [==============================] - 45s - loss: 1.6054 - acc: 0.7344 - val_loss: 1.9621 - val_acc: 0.5994\n", "Epoch 51/170\n", "391/391 [==============================] - 44s - loss: 1.6300 - acc: 0.7307 - val_loss: 6.0263 - val_acc: 0.1273\n", "Epoch 52/170\n", "391/391 [==============================] - 45s - loss: 1.6103 - acc: 0.7311 - val_loss: 2.5825 - val_acc: 0.4361\n", "Epoch 53/170\n", "391/391 [==============================] - 44s - loss: 1.6193 - acc: 0.7336 - val_loss: 2.3532 - val_acc: 0.4965\n", "Epoch 54/170\n", "391/391 [==============================] - 45s - loss: 1.6151 - acc: 0.7342 - val_loss: 2.8765 - val_acc: 0.3680\n", "Epoch 55/170\n", "391/391 [==============================] - 45s - loss: 1.6219 - acc: 0.7302 - val_loss: 2.3603 - val_acc: 0.5124\n", "Epoch 56/170\n", "391/391 [==============================] - 45s - loss: 1.6107 - acc: 0.7304 - val_loss: 2.5536 - val_acc: 0.4310\n", "Epoch 57/170\n", "391/391 [==============================] - 45s - loss: 1.6155 - acc: 0.7341 - val_loss: 2.4213 - val_acc: 0.4873\n", "Epoch 58/170\n", "391/391 [==============================] - 45s - loss: 1.5933 - acc: 0.7342 - val_loss: 2.5767 - val_acc: 0.3996\n", "Epoch 59/170\n", "391/391 [==============================] - 45s - loss: 1.6072 - acc: 0.7293 - val_loss: 2.7264 - val_acc: 0.4714\n", "Epoch 60/170\n", "391/391 [==============================] - 44s - loss: 1.6019 - acc: 0.7347 - val_loss: 2.1024 - val_acc: 0.5680\n", "Epoch 61/170\n", "391/391 [==============================] - 44s - loss: 1.6020 - acc: 0.7320 - val_loss: 3.4962 - val_acc: 0.3448\n", "Epoch 62/170\n", "391/391 [==============================] - 44s - loss: 1.6017 - acc: 0.7343 - val_loss: 3.0863 - val_acc: 0.3589\n", "Epoch 63/170\n", "391/391 [==============================] - 45s - loss: 1.5970 - acc: 0.7303 - val_loss: 2.0296 - val_acc: 0.5620\n", "Epoch 64/170\n", "391/391 [==============================] - 45s - loss: 1.5918 - acc: 0.7337 - val_loss: 2.1089 - val_acc: 0.5558\n", "Epoch 65/170\n", "391/391 [==============================] - 45s - loss: 1.6085 - acc: 0.7278 - val_loss: 1.9820 - val_acc: 0.5989\n", "Epoch 66/170\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "391/391 [==============================] - 45s - loss: 1.5918 - acc: 0.7330 - val_loss: 2.7357 - val_acc: 0.4175\n", "Epoch 67/170\n", "391/391 [==============================] - 45s - loss: 1.5946 - acc: 0.7336 - val_loss: 2.5758 - val_acc: 0.3925\n", "Epoch 68/170\n", "391/391 [==============================] - 45s - loss: 1.5931 - acc: 0.7326 - val_loss: 2.8285 - val_acc: 0.3382\n", "Epoch 69/170\n", "391/391 [==============================] - 45s - loss: 1.5978 - acc: 0.7331 - val_loss: 2.5085 - val_acc: 0.4478\n", "Epoch 70/170\n", "391/391 [==============================] - 45s - loss: 1.5879 - acc: 0.7353 - val_loss: 2.4009 - val_acc: 0.4973\n", "Epoch 71/170\n", "391/391 [==============================] - 45s - loss: 1.5942 - acc: 0.7368 - val_loss: 2.4004 - val_acc: 0.4152\n", "Epoch 72/170\n", "391/391 [==============================] - 45s - loss: 1.5944 - acc: 0.7313 - val_loss: 2.1285 - val_acc: 0.5658\n", "Epoch 73/170\n", "391/391 [==============================] - 44s - loss: 1.5846 - acc: 0.7349 - val_loss: 2.0390 - val_acc: 0.5717\n", "Epoch 74/170\n", "391/391 [==============================] - 44s - loss: 1.5990 - acc: 0.7326 - val_loss: 2.3853 - val_acc: 0.4744\n", "Epoch 75/170\n", "391/391 [==============================] - 44s - loss: 1.5958 - acc: 0.7358 - val_loss: 2.6013 - val_acc: 0.4367\n", "Epoch 76/170\n", "391/391 [==============================] - 44s - loss: 1.6033 - acc: 0.7332 - val_loss: 2.5766 - val_acc: 0.4145\n", "Epoch 77/170\n", "391/391 [==============================] - 44s - loss: 1.6014 - acc: 0.7324 - val_loss: 3.2699 - val_acc: 0.3168\n", "Epoch 78/170\n", "391/391 [==============================] - 44s - loss: 1.5880 - acc: 0.7332 - val_loss: 2.2472 - val_acc: 0.5362\n", "Epoch 79/170\n", "391/391 [==============================] - 44s - loss: 1.5973 - acc: 0.7338 - val_loss: 2.6982 - val_acc: 0.3678\n", "Epoch 80/170\n", "391/391 [==============================] - 45s - loss: 1.5955 - acc: 0.7320 - val_loss: 2.3848 - val_acc: 0.5045\n", "Epoch 81/170\n", "391/391 [==============================] - 45s - loss: 1.5764 - acc: 0.7337 - val_loss: 2.0337 - val_acc: 0.5618\n", "Epoch 82/170\n", "391/391 [==============================] - 45s - loss: 1.3197 - acc: 0.8007 - val_loss: 1.3066 - val_acc: 0.7887\n", "Epoch 83/170\n", "391/391 [==============================] - 45s - loss: 1.0416 - acc: 0.8472 - val_loss: 1.1027 - val_acc: 0.8112\n", "Epoch 84/170\n", "391/391 [==============================] - 45s - loss: 0.8996 - acc: 0.8631 - val_loss: 1.0225 - val_acc: 0.8130\n", "Epoch 85/170\n", "391/391 [==============================] - 45s - loss: 0.8106 - acc: 0.8702 - val_loss: 0.9317 - val_acc: 0.8239\n", "Epoch 86/170\n", "391/391 [==============================] - 45s - loss: 0.7498 - acc: 0.8741 - val_loss: 0.9299 - val_acc: 0.8169\n", "Epoch 87/170\n", "391/391 [==============================] - 45s - loss: 0.7157 - acc: 0.8774 - val_loss: 0.8181 - val_acc: 0.8343\n", "Epoch 88/170\n", "391/391 [==============================] - 45s - loss: 0.6914 - acc: 0.8801 - val_loss: 0.9621 - val_acc: 0.7938\n", "Epoch 89/170\n", "391/391 [==============================] - 45s - loss: 0.6827 - acc: 0.8805 - val_loss: 0.9323 - val_acc: 0.8049\n", "Epoch 90/170\n", "391/391 [==============================] - 45s - loss: 0.6788 - acc: 0.8824 - val_loss: 0.9320 - val_acc: 0.8006\n", "Epoch 91/170\n", "391/391 [==============================] - 45s - loss: 0.6720 - acc: 0.8844 - val_loss: 0.8394 - val_acc: 0.8367\n", "Epoch 92/170\n", "391/391 [==============================] - 45s - loss: 0.6748 - acc: 0.8859 - val_loss: 1.1221 - val_acc: 0.7608\n", "Epoch 93/170\n", "391/391 [==============================] - 45s - loss: 0.6697 - acc: 0.8870 - val_loss: 0.9130 - val_acc: 0.8160\n", "Epoch 94/170\n", "391/391 [==============================] - 45s - loss: 0.6677 - acc: 0.8917 - val_loss: 0.8731 - val_acc: 0.8317\n", "Epoch 95/170\n", "391/391 [==============================] - 45s - loss: 0.6697 - acc: 0.8935 - val_loss: 0.9115 - val_acc: 0.8248\n", "Epoch 96/170\n", "391/391 [==============================] - 45s - loss: 0.6743 - acc: 0.8936 - val_loss: 0.9579 - val_acc: 0.8131\n", "Epoch 97/170\n", "391/391 [==============================] - 45s - loss: 0.6739 - acc: 0.8966 - val_loss: 0.9413 - val_acc: 0.8205\n", "Epoch 98/170\n", "391/391 [==============================] - 45s - loss: 0.6797 - acc: 0.8953 - val_loss: 0.9161 - val_acc: 0.8226\n", "Epoch 99/170\n", "391/391 [==============================] - 45s - loss: 0.6807 - acc: 0.8975 - val_loss: 0.8980 - val_acc: 0.8344\n", "Epoch 100/170\n", "391/391 [==============================] - 45s - loss: 0.6808 - acc: 0.8979 - val_loss: 0.8584 - val_acc: 0.8465\n", "Epoch 101/170\n", "391/391 [==============================] - 45s - loss: 0.6795 - acc: 0.8994 - val_loss: 0.8751 - val_acc: 0.8359\n", "Epoch 102/170\n", "391/391 [==============================] - 45s - loss: 0.6771 - acc: 0.9008 - val_loss: 0.8976 - val_acc: 0.8302\n", "Epoch 103/170\n", "391/391 [==============================] - 45s - loss: 0.6727 - acc: 0.9042 - val_loss: 0.9247 - val_acc: 0.8257\n", "Epoch 104/170\n", "391/391 [==============================] - 45s - loss: 0.6802 - acc: 0.9022 - val_loss: 0.8823 - val_acc: 0.8394\n", "Epoch 105/170\n", "391/391 [==============================] - 45s - loss: 0.6807 - acc: 0.9036 - val_loss: 1.1629 - val_acc: 0.7608\n", "Epoch 106/170\n", "391/391 [==============================] - 46s - loss: 0.6805 - acc: 0.9058 - val_loss: 0.9777 - val_acc: 0.8223\n", "Epoch 107/170\n", "391/391 [==============================] - 46s - loss: 0.6783 - acc: 0.9081 - val_loss: 0.8959 - val_acc: 0.8405\n", "Epoch 108/170\n", "391/391 [==============================] - 46s - loss: 0.6792 - acc: 0.9064 - val_loss: 1.0403 - val_acc: 0.7902\n", "Epoch 109/170\n", "391/391 [==============================] - 46s - loss: 0.6861 - acc: 0.9061 - val_loss: 1.2975 - val_acc: 0.7517\n", "Epoch 110/170\n", "391/391 [==============================] - 46s - loss: 0.6854 - acc: 0.9071 - val_loss: 0.8764 - val_acc: 0.8512\n", "Epoch 111/170\n", "391/391 [==============================] - 46s - loss: 0.6892 - acc: 0.9067 - val_loss: 0.9311 - val_acc: 0.8287\n", "Epoch 112/170\n", "391/391 [==============================] - 46s - loss: 0.6824 - acc: 0.9104 - val_loss: 1.1703 - val_acc: 0.7850\n", "Epoch 113/170\n", "391/391 [==============================] - 46s - loss: 0.6943 - acc: 0.9091 - val_loss: 0.9857 - val_acc: 0.8165\n", "Epoch 114/170\n", "391/391 [==============================] - 46s - loss: 0.6943 - acc: 0.9074 - val_loss: 1.0189 - val_acc: 0.8120\n", "Epoch 115/170\n", "391/391 [==============================] - 46s - loss: 0.6908 - acc: 0.9085 - val_loss: 0.9910 - val_acc: 0.8250\n", "Epoch 116/170\n", "391/391 [==============================] - 46s - loss: 0.6954 - acc: 0.9096 - val_loss: 1.1163 - val_acc: 0.7998\n", "Epoch 117/170\n", "391/391 [==============================] - 46s - loss: 0.6955 - acc: 0.9103 - val_loss: 1.3160 - val_acc: 0.7321\n", "Epoch 118/170\n", "391/391 [==============================] - 46s - loss: 0.6826 - acc: 0.9137 - val_loss: 0.9815 - val_acc: 0.8312\n", "Epoch 119/170\n", "391/391 [==============================] - 46s - loss: 0.6953 - acc: 0.9113 - val_loss: 1.1597 - val_acc: 0.7902\n", "Epoch 120/170\n", "391/391 [==============================] - 46s - loss: 0.6941 - acc: 0.9125 - val_loss: 1.0074 - val_acc: 0.8210\n", "Epoch 121/170\n", "391/391 [==============================] - 46s - loss: 0.6919 - acc: 0.9138 - val_loss: 1.0106 - val_acc: 0.8271\n", "Epoch 122/170\n", "391/391 [==============================] - 46s - loss: 0.5955 - acc: 0.9437 - val_loss: 0.7098 - val_acc: 0.9073\n", "Epoch 123/170\n", "391/391 [==============================] - 46s - loss: 0.5343 - acc: 0.9617 - val_loss: 0.6960 - val_acc: 0.9124\n", "Epoch 124/170\n", "391/391 [==============================] - 46s - loss: 0.5044 - acc: 0.9682 - val_loss: 0.6885 - val_acc: 0.9139\n", "Epoch 125/170\n", "391/391 [==============================] - 47s - loss: 0.4797 - acc: 0.9739 - val_loss: 0.6774 - val_acc: 0.9169\n", "Epoch 126/170\n", "391/391 [==============================] - 46s - loss: 0.4663 - acc: 0.9757 - val_loss: 0.6719 - val_acc: 0.9164\n", "Epoch 127/170\n", "391/391 [==============================] - 46s - loss: 0.4471 - acc: 0.9785 - val_loss: 0.6743 - val_acc: 0.9170\n", "Epoch 128/170\n", "391/391 [==============================] - 46s - loss: 0.4344 - acc: 0.9799 - val_loss: 0.6679 - val_acc: 0.9159\n", "Epoch 129/170\n", "391/391 [==============================] - 46s - loss: 0.4204 - acc: 0.9825 - val_loss: 0.6741 - val_acc: 0.9156\n", "Epoch 130/170\n", "391/391 [==============================] - 46s - loss: 0.4094 - acc: 0.9837 - val_loss: 0.6752 - val_acc: 0.9131\n", "Epoch 131/170\n", "391/391 [==============================] - 46s - loss: 0.3990 - acc: 0.9834 - val_loss: 0.6565 - val_acc: 0.9187\n", "Epoch 132/170\n", "391/391 [==============================] - 46s - loss: 0.3864 - acc: 0.9863 - val_loss: 0.6580 - val_acc: 0.9155\n", "Epoch 133/170\n", "391/391 [==============================] - 46s - loss: 0.3786 - acc: 0.9860 - val_loss: 0.6555 - val_acc: 0.9149\n", "Epoch 134/170\n", "391/391 [==============================] - 46s - loss: 0.3665 - acc: 0.9875 - val_loss: 0.6570 - val_acc: 0.9181\n", "Epoch 135/170\n", "391/391 [==============================] - 46s - loss: 0.3591 - acc: 0.9882 - val_loss: 0.6614 - val_acc: 0.9162\n", "Epoch 136/170\n", "391/391 [==============================] - 46s - loss: 0.3521 - acc: 0.9884 - val_loss: 0.6504 - val_acc: 0.9131\n", "Epoch 137/170\n", "391/391 [==============================] - 46s - loss: 0.3455 - acc: 0.9886 - val_loss: 0.6467 - val_acc: 0.9160\n", "Epoch 138/170\n", "391/391 [==============================] - 46s - loss: 0.3391 - acc: 0.9883 - val_loss: 0.6419 - val_acc: 0.9129\n", "Epoch 139/170\n", "391/391 [==============================] - 46s - loss: 0.3317 - acc: 0.9890 - val_loss: 0.6316 - val_acc: 0.9160\n", "Epoch 140/170\n", "391/391 [==============================] - 46s - loss: 0.3207 - acc: 0.9908 - val_loss: 0.6438 - val_acc: 0.9157\n", "Epoch 141/170\n", "391/391 [==============================] - 46s - loss: 0.3163 - acc: 0.9901 - val_loss: 0.6209 - val_acc: 0.9165\n", "Epoch 142/170\n", "391/391 [==============================] - 46s - loss: 0.3082 - acc: 0.9906 - val_loss: 0.6508 - val_acc: 0.9102\n", "Epoch 143/170\n", "391/391 [==============================] - 46s - loss: 0.3038 - acc: 0.9906 - val_loss: 0.6329 - val_acc: 0.9139\n", "Epoch 144/170\n", "391/391 [==============================] - 46s - loss: 0.2965 - acc: 0.9914 - val_loss: 0.6455 - val_acc: 0.9114\n", "Epoch 145/170\n", "391/391 [==============================] - 46s - loss: 0.2947 - acc: 0.9903 - val_loss: 0.6247 - val_acc: 0.9141\n", "Epoch 146/170\n", "391/391 [==============================] - 46s - loss: 0.2874 - acc: 0.9911 - val_loss: 0.6295 - val_acc: 0.9130\n", "Epoch 147/170\n", "391/391 [==============================] - 46s - loss: 0.2845 - acc: 0.9905 - val_loss: 0.6222 - val_acc: 0.9148\n", "Epoch 148/170\n", "391/391 [==============================] - 46s - loss: 0.2780 - acc: 0.9907 - val_loss: 0.6465 - val_acc: 0.9083\n", "Epoch 149/170\n", "391/391 [==============================] - 46s - loss: 0.2767 - acc: 0.9902 - val_loss: 0.6324 - val_acc: 0.9086\n", "Epoch 150/170\n", "391/391 [==============================] - 46s - loss: 0.2705 - acc: 0.9909 - val_loss: 0.6128 - val_acc: 0.9123\n", "Epoch 151/170\n", "391/391 [==============================] - 46s - loss: 0.2638 - acc: 0.9914 - val_loss: 0.5972 - val_acc: 0.9145\n", "Epoch 152/170\n", "391/391 [==============================] - 46s - loss: 0.2594 - acc: 0.9920 - val_loss: 0.6372 - val_acc: 0.9057\n", "Epoch 153/170\n", "391/391 [==============================] - 46s - loss: 0.2578 - acc: 0.9914 - val_loss: 0.6167 - val_acc: 0.9078\n", "Epoch 154/170\n", "391/391 [==============================] - 46s - loss: 0.2545 - acc: 0.9909 - val_loss: 0.6002 - val_acc: 0.9109\n", "Epoch 155/170\n", "391/391 [==============================] - 46s - loss: 0.2486 - acc: 0.9914 - val_loss: 0.5844 - val_acc: 0.9128\n", "Epoch 156/170\n", "391/391 [==============================] - 46s - loss: 0.2452 - acc: 0.9919 - val_loss: 0.6113 - val_acc: 0.9065\n", "Epoch 157/170\n", "391/391 [==============================] - 46s - loss: 0.2412 - acc: 0.9916 - val_loss: 0.5785 - val_acc: 0.9151\n", "Epoch 158/170\n", "391/391 [==============================] - 46s - loss: 0.2371 - acc: 0.9922 - val_loss: 0.6072 - val_acc: 0.9115\n", "Epoch 159/170\n", "391/391 [==============================] - 46s - loss: 0.2401 - acc: 0.9900 - val_loss: 0.6420 - val_acc: 0.9013\n", "Epoch 160/170\n", "391/391 [==============================] - 46s - loss: 0.2368 - acc: 0.9902 - val_loss: 0.5932 - val_acc: 0.9095\n", "Epoch 161/170\n", "391/391 [==============================] - 46s - loss: 0.2341 - acc: 0.9900 - val_loss: 0.5711 - val_acc: 0.9102\n", "Epoch 162/170\n", "391/391 [==============================] - 46s - loss: 0.2278 - acc: 0.9908 - val_loss: 0.6049 - val_acc: 0.9042\n", "Epoch 163/170\n", "391/391 [==============================] - 46s - loss: 0.2269 - acc: 0.9907 - val_loss: 0.5716 - val_acc: 0.9111\n", "Epoch 164/170\n", "391/391 [==============================] - 46s - loss: 0.2238 - acc: 0.9911 - val_loss: 0.6103 - val_acc: 0.8998\n", "Epoch 165/170\n", "391/391 [==============================] - 46s - loss: 0.2233 - acc: 0.9898 - val_loss: 0.5775 - val_acc: 0.9070\n", "Epoch 166/170\n", "391/391 [==============================] - 46s - loss: 0.2215 - acc: 0.9903 - val_loss: 0.5826 - val_acc: 0.9059\n", "Epoch 167/170\n", "391/391 [==============================] - 46s - loss: 0.2164 - acc: 0.9907 - val_loss: 0.5751 - val_acc: 0.9098\n", "Epoch 168/170\n", "391/391 [==============================] - 46s - loss: 0.2127 - acc: 0.9913 - val_loss: 0.5643 - val_acc: 0.9147\n", "Epoch 169/170\n", "391/391 [==============================] - 46s - loss: 0.2126 - acc: 0.9903 - val_loss: 0.6312 - val_acc: 0.8973\n", "Epoch 170/170\n", "391/391 [==============================] - 46s - loss: 0.2121 - acc: 0.9902 - val_loss: 0.5702 - val_acc: 0.9060\n" ] } ], "source": [ "model.fit_generator(datagen.flow(x_train, y_train,batch_size=batch_size),\n", " steps_per_epoch=iterations,\n", " epochs=epochs,\n", " callbacks=cbks,\n", " validation_data=(x_test, y_test))\n", "model.save('vgg19_retrain.h5')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
Hash--/documents
notebooks/MDSplus/MDSplus_ToreSupra_example.ipynb
2
50881
{ "metadata": { "name": "", "signature": "sha256:afcba29030ce8626357a40262192489c1d8f719fbcd5965ad9dda7a81883c90f" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Retrieving Tore Supra data via the Python MDSplus package " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Import the python MDSplus package:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "import MDSplus as mds" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "heading", "level": 2, "metadata": {}, "source": [ "Accessing to a simple signal" ] }, { "cell_type": "code", "collapsed": false, "input": [ "conx = mds.Connection('mdsplus.partenaires.cea.fr:8000')\n", "y = conx.get('_sig=gettsbase(34567,\"SIPMES\")')\n", "t = conx.get('dim_of(_sig)')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "plot(t,y, lw=2)\n", "xlabel('t [s]')\n", "ylabel('I_p [MA]')\n", "grid('on')\n", "axis([-1, 20, 0, 0.7])\n", "title('Plasma Current TS#34567')\n" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 3, "text": [ "<matplotlib.text.Text at 0x615b0b8>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYUAAAEZCAYAAAB4hzlwAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x6ac4160>" ] } ], "prompt_number": 3 }, { "cell_type": "heading", "level": 2, "metadata": {}, "source": [ "Group of signals" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Lower Hybrid injected Power" ] }, { "cell_type": "code", "collapsed": false, "input": [ "Phyb = conx.get('_sig=gettsbase(47979, \"GPHYB\")')\n", "Phyb_t = conx.get('dim_of(_sig)')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "plot(Phyb_t.value[0,:], Phyb.value[0,:]) # C3\n", "plot(Phyb_t.value[1,:], Phyb.value[1,:]) # C4" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 6, "text": [ "[<matplotlib.lines.Line2D at 0xa26e1d0>]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYAAAAEACAYAAAC6d6FnAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nKEgxAIiIgtT/Bx5UyE7uSBTkAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0xa26e198>" ] } ], "prompt_number": 6 }, { "cell_type": "markdown", "metadata": {}, "source": [ "LH power in the module #2 of the C3 launcher" ] }, { "cell_type": "code", "collapsed": false, "input": [ "Plh = conx.get('_sig=gettsbase(47978,\"GPINJC1%1\")') \n", "Plh_t = conx.get('dim_of(_sig)')\n", "shape(Plh)\n" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 14, "text": [ "(1L, 3584L)" ] } ], "prompt_number": 14 }, { "cell_type": "code", "collapsed": false, "input": [ "plot(Plh_t.value[0,:], Plh.value[0,:])" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 15, "text": [ "[<matplotlib.lines.Line2D at 0xa766e10>]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXoAAAEACAYAAAC9Gb03AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\niAyOQU9EZHD/D7iNZvlY6C/jAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0xa262fd0>" ] } ], "prompt_number": 15 } ], "metadata": {} } ] }
mit
sebastianGehrmann/big-data-examples
.ipynb_checkpoints/GPM stats-checkpoint.ipynb
1
227236
{ "metadata": { "name": "", "signature": "sha256:a68f8fb821d0d305fbe02f9882cf84947cac78a200166ba53bcac7a99817475b" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "# special IPython command to prepare the notebook for matplotlib\n", "%matplotlib inline \n", "\n", "import numpy as np\n", "import pandas as pd\n", "import matplotlib.pyplot as plt\n", "import random\n", "\n", "from sklearn.linear_model import LinearRegression\n", "from scipy import polyval, polyfit\n", "from scipy.stats import pearsonr, linregress\n", "\n", "\n", "# set some nicer defaults for matplotlib\n", "from matplotlib import rcParams\n", "\n", "#colorbrewer2 Dark2 qualitative color table\n", "dark2_colors = [(0.10588235294117647, 0.6196078431372549, 0.4666666666666667),\n", " (0.8509803921568627, 0.37254901960784315, 0.00784313725490196),\n", " (0.4588235294117647, 0.4392156862745098, 0.7019607843137254),\n", " (0.9058823529411765, 0.1607843137254902, 0.5411764705882353),\n", " (0.4, 0.6509803921568628, 0.11764705882352941),\n", " (0.9019607843137255, 0.6705882352941176, 0.00784313725490196),\n", " (0.6509803921568628, 0.4627450980392157, 0.11372549019607843)]\n", "\n", "rcParams['figure.figsize'] = (12, 6.5)\n", "rcParams['figure.dpi'] = 150\n", "rcParams['axes.color_cycle'] = dark2_colors\n", "rcParams['lines.linewidth'] = 2\n", "rcParams['axes.facecolor'] = 'white'\n", "rcParams['font.size'] = 18\n", "rcParams['patch.edgecolor'] = 'white'\n", "rcParams['patch.facecolor'] = dark2_colors[0]\n", "rcParams['font.family'] = 'StixGeneral'\n", "\n", "def remove_border(axes=None, top=False, right=False, left=True, bottom=True):\n", " \"\"\"\n", " Minimize chartjunk by stripping out unnecesary plot borders and axis ticks\n", " \n", " The top/right/left/bottom keywords toggle whether the corresponding plot border is drawn\n", " \"\"\"\n", " ax = axes or plt.gca()\n", " ax.spines['top'].set_visible(top)\n", " ax.spines['right'].set_visible(right)\n", " ax.spines['left'].set_visible(left)\n", " ax.spines['bottom'].set_visible(bottom)\n", " \n", " #turn off all ticks\n", " ax.yaxis.set_ticks_position('none')\n", " ax.xaxis.set_ticks_position('none')\n", " \n", " #now re-enable visibles\n", " if top:\n", " ax.xaxis.tick_top()\n", " if bottom:\n", " ax.xaxis.tick_bottom()\n", " if left:\n", " ax.yaxis.tick_left()\n", " if right:\n", " ax.yaxis.tick_right()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 89 }, { "cell_type": "code", "collapsed": false, "input": [ "hist_df = pd.read_csv(r'C:\\Users\\Sebastian\\Dropbox\\Semester 6\\BPM QM\\Werkzeuge des Qualitaetsmanagements\\Fallstudien\\Histogramm\\Fallstudie_Histogramm_raw_data.csv')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 30 }, { "cell_type": "code", "collapsed": false, "input": [ "hist_df.head()" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>VorfallNr</th>\n", " <th>Dauer [Stunden]</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td> 1</td>\n", " <td> 81.537413</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td> 2</td>\n", " <td> 50.039775</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td> 3</td>\n", " <td> 79.665831</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td> 4</td>\n", " <td> 134.478216</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td> 5</td>\n", " <td> 9.870774</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "metadata": {}, "output_type": "pyout", "prompt_number": 31, "text": [ " VorfallNr Dauer [Stunden]\n", "0 1 81.537413\n", "1 2 50.039775\n", "2 3 79.665831\n", "3 4 134.478216\n", "4 5 9.870774" ] } ], "prompt_number": 31 }, { "cell_type": "code", "collapsed": false, "input": [ "hist_df['Dauer [Stunden]'].mean()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 32, "text": [ "171.53298198811484" ] } ], "prompt_number": 32 }, { "cell_type": "code", "collapsed": false, "input": [ "hist_df['Dauer [Stunden]'].std()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 33, "text": [ "176.92746583952311" ] } ], "prompt_number": 33 }, { "cell_type": "code", "collapsed": false, "input": [ "bin_num = hist_df['Dauer [Stunden]'].max()/float(20)\n", "hist_df['Dauer [Stunden]'].hist(bins=bin_num)\n", "remove_border()\n", "plt.grid(False)\n", "plt.grid(axis = 'y', color ='white', linestyle='-')\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAtEAAAGPCAYAAACapYwdAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nbEd7AAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x141adac8>" ] } ], "prompt_number": 34 }, { "cell_type": "code", "collapsed": false, "input": [ "z = [50*.995 ** k for k in xrange(1200)]\n", "plt.plot(z)\n", "remove_border()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAtEAAAGPCAYAAACapYwdAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x13e4f630>" ] } ], "prompt_number": 35 }, { "cell_type": "markdown", "metadata": {}, "source": [ "#SCATTER" ] }, { "cell_type": "code", "collapsed": false, "input": [ "\n", "scatter_df = pd.read_csv(r'C:\\Users\\Sebastian\\Dropbox\\Semester 6\\BPM QM\\Werkzeuge des Qualitaetsmanagements\\Fallstudien\\Scatter-Diagramm\\Scatter-Diagramm-raw_data.csv')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 36 }, { "cell_type": "code", "collapsed": false, "input": [ "scatter_df = scatter_df.astype(float)\n", "scatter_df = scatter_df.dropna()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 52 }, { "cell_type": "code", "collapsed": false, "input": [ "print scatter_df.head()" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ " AuftragNr1 Abwicklungszeit [sec]1 Auftragsvolumen1 AuftragNr2 \\\n", "0 1 483 14097.89 1 \n", "1 2 503 17982.94 2 \n", "2 3 413 16027.24 3 \n", "3 4 373 9582.38 4 \n", "4 5 658 23586.65 5 \n", "\n", " Abwicklungszeit [sec]2 Auftragsvolumen2 \n", "0 3685 19161 \n", "1 5249 23633 \n", "2 2380 15032 \n", "3 1619 10633 \n", "4 1645 11673 \n" ] } ], "prompt_number": 65 }, { "cell_type": "code", "collapsed": false, "input": [ "#print scatter_df.corr()\n", "print pearsonr(scatter_df['Abwicklungszeit [sec]1'], scatter_df['Auftragsvolumen1'])\n", "print pearsonr(scatter_df['Abwicklungszeit [sec]2'], scatter_df['Auftragsvolumen2'])" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(0.93121017520682825, 8.5152378767540137e-14)\n", "(0.9778326028240919, 1.4798494480469965e-20)\n" ] } ], "prompt_number": 54 }, { "cell_type": "code", "collapsed": false, "input": [ "mean_abw_1 = scatter_df['Abwicklungszeit [sec]1'].mean()\n", "mean_abw_2 = scatter_df['Abwicklungszeit [sec]2'].mean()\n", "mean_auf_1 = scatter_df['Auftragsvolumen1'].mean()\n", "mean_auf_2 = scatter_df['Auftragsvolumen2'].mean()\n", "\n", "std_abw_1 = scatter_df['Abwicklungszeit [sec]1'].std()\n", "std_abw_2 = scatter_df['Abwicklungszeit [sec]2'].std()\n", "std_auf_1 = scatter_df['Auftragsvolumen1'].std()\n", "std_auf_2 = scatter_df['Auftragsvolumen2'].std()\n", "\n" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 55 }, { "cell_type": "code", "collapsed": false, "input": [ "cov_1 = 0\n", "zw_1 = 0\n", "cov_2 = 0\n", "zw_2 = 0\n", "N = 0\n", "\n", "for i in scatter_df.iterrows():\n", " N += 1\n", " zw_1 += (i[1][1] - mean_abw_1)*(i[1][2] - mean_auf_1)\n", " zw_2 += (i[1][4] - mean_abw_2)*(i[1][5] - mean_auf_2)\n", " \n", "cov_1 = zw_1/float(N)\n", "cov_1 = cov_1/(std_abw_1 * std_auf_1)\n", "cov_2 = zw_2/float(N)\n", "cov_2 = cov_2/(std_abw_2 * std_auf_2)\n", "\n", "print cov_1\n", "print cov_2" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0.900169836033\n", "0.94523818273\n" ] } ], "prompt_number": 61 }, { "cell_type": "markdown", "metadata": {}, "source": [ "#Interpretation\n", "\n", "Das ist eine sehr hohe Correlation. Durch die P-Value < .001 ist das Ergebnis statistisch signifikant und ist es wahrscheinlich, dass der eine Wert den anderen erkl\u00e4rt. Auch wenn Correlation nicht gleich Causation ist, macht es sinn, dass ein hohes Auftragsvolumen der Grund f\u00fcr lange Reperaturzeiten ist." ] }, { "cell_type": "code", "collapsed": false, "input": [ "def rsquared(x, y):\n", " \"\"\" Return R^2 where x and y are array-like.\"\"\"\n", "\n", " slope, intercept, r_value, p_value, std_err = linregress(x, y)\n", " return r_value**2" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 90 }, { "cell_type": "code", "collapsed": false, "input": [ "(ar1, br1) = polyfit(scatter_df['Auftragsvolumen1'], scatter_df['Abwicklungszeit [sec]1'],1)\n", "xr1=polyval([ar1,br1],scatter_df['Auftragsvolumen1'])\n", "print \"alpha 1\", ar1\n", "print \"beta 1\", br1\n", "print \"r square 1\", rsquared(scatter_df['Auftragsvolumen1'], scatter_df['Abwicklungszeit [sec]1'])\n", "\n", "print \n", "\n", "(ar2, br2) = polyfit(scatter_df['Auftragsvolumen2'], scatter_df['Abwicklungszeit [sec]2'],1)\n", "xr2=polyval([ar2,br2],scatter_df['Auftragsvolumen2'])\n", "print \"alpha 2\", ar2\n", "print \"beta 2\", br2\n", "print \"r square 2\", rsquared(scatter_df['Auftragsvolumen2'], scatter_df['Abwicklungszeit [sec]2'])" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "alpha 1 0.020390312958\n", "beta 1 109.354604032\n", "r square 1 0.867152390409\n", "\n", "alpha 2 0.219918482146\n", "beta 2 -515.041492061\n", "r square 2 0.956156599146\n" ] } ], "prompt_number": 92 }, { "cell_type": "code", "collapsed": false, "input": [ "plt.scatter(scatter_df['Auftragsvolumen1'], scatter_df['Abwicklungszeit [sec]1'], color=dark2_colors[1])\n", "plt.plot(scatter_df['Auftragsvolumen1'],xr1,'.-', color=dark2_colors[2])\n", "remove_border()\n", "plt.title('Stichprobe 1')\n", "plt.xlabel('Auftragsvolumen')\n", "plt.ylabel('Abwicklungszeit')\n", "plt.xlim([0, 25000])\n", "plt.show()\n", "plt.scatter(scatter_df['Auftragsvolumen2'], scatter_df['Abwicklungszeit [sec]2'], color=dark2_colors[3])\n", "plt.plot(scatter_df['Auftragsvolumen2'],xr2,'.-', color=dark2_colors[4])\n", "plt.title('Stichprobe 2')\n", "plt.xlabel('Auftragsvolumen')\n", "plt.ylabel('Abwicklungszeit')\n", "plt.xlim([0, 25000])\n", "remove_border()\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAvUAAAGyCAYAAABk9zvEAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x1599ac88>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAwkAAAGyCAYAAACvCYgTAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSOX/A6QlvhEnx6hNAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x14d7efd0>" ] } ], "prompt_number": 94 }, { "cell_type": "code", "collapsed": false, "input": [ "z1 = polyfit(scatter_df['Auftragsvolumen1'], scatter_df['Abwicklungszeit [sec]1'],2)\n", "z2 = polyfit(scatter_df['Auftragsvolumen2'], scatter_df['Abwicklungszeit [sec]2'],2)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 116 }, { "cell_type": "code", "collapsed": false, "input": [ "plt.scatter(scatter_df['Auftragsvolumen1'], scatter_df['Abwicklungszeit [sec]1'], color=dark2_colors[1])\n", "plt.plot(scatter_df['Auftragsvolumen1'],xr1,'.-', color=dark2_colors[2])\n", "remove_border()\n", "plt.title('Stichprobe 1')\n", "plt.xlabel('Auftragsvolumen')\n", "plt.ylabel('Abwicklungszeit')\n", "plt.xlim([0, 25000])\n", "\n", "#polyfit\n", "xp = np.linspace(0, 25000, 100)\n", "p = np.poly1d(z1)\n", "plt.plot(xp, p(xp) )\n", "\n", "plt.legend(['linear', 'quadratic'], loc=4)\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAvUAAAGyCAYAAABk9zvEAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nxpiZ+x8JztmS2CzBcQAAAABJRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x1583c470>" ] } ], "prompt_number": 124 }, { "cell_type": "code", "collapsed": false, "input": [ "plt.scatter(scatter_df['Auftragsvolumen2'], scatter_df['Abwicklungszeit [sec]2'], color=dark2_colors[3])\n", "plt.plot(scatter_df['Auftragsvolumen2'],xr2,'.-', color=dark2_colors[5])\n", "plt.title('Stichprobe 2')\n", "plt.xlabel('Auftragsvolumen')\n", "plt.ylabel('Abwicklungszeit')\n", "plt.xlim([0, 25000])\n", "remove_border()\n", "\n", "#polyfit\n", "xp = np.linspace(0, 25000, 100)\n", "p = np.poly1d(z2)\n", "plt.plot(xp, p(xp) )\n", "\n", "plt.legend(['linear', 'quadratic'], loc=4)\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAwkAAAGyCAYAAACvCYgTAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nICIiIiIiPf8DgyyE0kZuK4oAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x15869438>" ] } ], "prompt_number": 120 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
mit
fabm-model/fabm
util/algorithms/running_max.ipynb
1
8032
{ "cells": [ { "cell_type": "markdown", "source": [ "# Prototype: an efficient, approximate running maximum filter\r\n", "\r\n", "The window size (units of time) is denoted as $p$.\r\n", "\r\n", "Constraints:\r\n", "* The filter uses a prescribed number of bins, $n$, to store the history.\r\n", " Typically $n$ << the number of time steps the model would take to cover the window.\r\n", " For instance, a 1-year running mean may use just 1 bin. In that case, the maximum during very first year will be set to \"missing value\".\r\n", " In the entire subsequent year, the maximum will be equal to the maximum over the first year. And so on.\r\n", " By definition, this means the running maximum will be an approximation that ignores all recent history (of duration window size / # bins).\r\n", "* We have no advance knowledge about the model time step $\\Delta t$, which may be variable." ], "metadata": {} }, { "cell_type": "code", "execution_count": null, "source": [ "import numpy\r\n", "from matplotlib import pyplot\r\n", "\r\n", "p = 1. # window size time units\r\n", "delta_t = 1. / 24. / 6. / 365 # model time step in time units\r\n", "duration = 5. # simulation duration in time units\r\n", "n = 1 # number of bins for history (covering period p)\r\n", "missing_value = -2. # value to return while the simulation has not covered 1 window size yet" ], "outputs": [], "metadata": {} }, { "cell_type": "code", "execution_count": null, "source": [ "class Filter:\r\n", " def __init__(self):\r\n", " self.history = numpy.zeros((n,))\r\n", " self.previous_time = 0.\r\n", " self.previous_value = 0\r\n", " self.ibin = -1\r\n", " self.bin_end_time = 0.\r\n", " self.max = missing_value\r\n", " self.complete = False\r\n", "\r\n", " def __call__(self, now: float, value: float) -> float:\r\n", " if self.ibin == -1:\r\n", " self.bin_end_time = now + p / n\r\n", " self.ibin = 0\r\n", "\r\n", " while now >= self.bin_end_time:\r\n", " # Interpolate to value at right bin time\r\n", " w = (self.bin_end_time - self.previous_time) / (now - self.previous_time)\r\n", " self.previous_time = self.bin_end_time\r\n", " self.previous_value += w * (value - self.previous_value)\r\n", "\r\n", " # Increment the bin we are completing (history[ibin]) and mean\r\n", " #bin_end_value = (1 - w) * self.previous_value + w * value\r\n", " #self.history[self.ibin] += 0.5 * dt * (self.previous_value + bin_end_value) / p\r\n", " self.history[self.ibin] = numpy.maximum(self.history[self.ibin], self.previous_value)\r\n", " self.complete = self.complete or self.ibin == n - 1\r\n", " if self.complete:\r\n", " self.max = numpy.max(self.history[:, ...], axis=0)\r\n", " self.ibin = 0 if self.ibin == n - 1 else self.ibin + 1\r\n", " self.history[self.ibin] = self.previous_value\r\n", "\r\n", " self.bin_end_time += p / n\r\n", "\r\n", " # Update the maximum of the current bin\r\n", " self.history[self.ibin] = numpy.maximum(self.history[self.ibin], value)\r\n", "\r\n", " # Store current time and value to enable linear interpolation in subsequent call.\r\n", " self.previous_time = now\r\n", " self.previous_value = value\r\n", " return self.max" ], "outputs": [], "metadata": {} }, { "cell_type": "code", "execution_count": null, "source": [ "# Calculate and plot variable for which to compute the running maximum\r\n", "times = numpy.arange(0, duration, delta_t)\r\n", "values = numpy.sin(2 * numpy.pi * times / 3)\r\n", "\r\n", "fig, ax = pyplot.subplots(figsize=(15, 4))\r\n", "ax.plot(times, values)\r\n", "ax.grid()" ], "outputs": [], "metadata": {} }, { "cell_type": "code", "execution_count": null, "source": [ "# Compute and plot the running maximum\r\n", "filter = Filter()\r\n", "filtered = numpy.empty_like(values)\r\n", "for i, (time, value) in enumerate(zip(times, values)):\r\n", " filtered[i] = filter(time, value)\r\n", "\r\n", "fig, ax = pyplot.subplots(figsize=(15, 4))\r\n", "ax.plot(times, values)\r\n", "ax.plot(times, filtered)\r\n", "ax.grid()" ], "outputs": [], "metadata": {} }, { "cell_type": "code", "execution_count": null, "source": [ "# Compare running maximum with analytical solution\r\n", "# (this requires the window size to be a multiple of the model time step)\r\n", "assert abs(p % delta_t) < 1e-15, 'Window size %s is not a multiple of the model time step %s. Residual: %s' % (p, delta_t, p % delta_t)\r\n", "nstep = int(round(p / delta_t))\r\n", "analytical = numpy.full_like(values, missing_value)\r\n", "for i, (time, value) in enumerate(zip(times, values)):\r\n", " if i >= nstep:\r\n", " analytical[i] = values[i - nstep:i + 1].max()\r\n", "\r\n", "fig, ax = pyplot.subplots(figsize=(15, 4))\r\n", "ax.plot(times, filtered - analytical)\r\n", "ax.grid()\r\n" ], "outputs": [], "metadata": {} }, { "cell_type": "code", "execution_count": null, "source": [ "# Now try with randomly varying time step\r\n", "filter = Filter()\r\n", "time = 0.\r\n", "rtimes, rvalues, rfiltered = [], [], []\r\n", "while time < duration:\r\n", " value = numpy.sin(2 * numpy.pi * time / 3)\r\n", " rtimes.append(time)\r\n", " rvalues.append(value)\r\n", " rfiltered.append(filter(time, value))\r\n", " dt = 2 * delta_t * numpy.random.rand()\r\n", " time += dt\r\n", "\r\n", "fig, ax = pyplot.subplots(figsize=(15, 4))\r\n", "ax.plot(rtimes, rvalues)\r\n", "ax.plot(rtimes, rfiltered)\r\n", "ax.grid()" ], "outputs": [], "metadata": {} }, { "cell_type": "code", "execution_count": null, "source": [ "# Now try the running maximum filter in FABM itself\r\n", "import pyfabm\r\n", "config = {'instances': {'max': {'model': 'surface_temporal_maximum', 'parameters': {'window': p, 'n': n, 'missing_value': missing_value}}}}\r\n", "m = pyfabm.Model(config)\r\n", "invar = m.findDependency('max/source')\r\n", "outvar = m.findDiagnosticVariable('max/max')\r\n", "invar.value = missing_value\r\n", "m.cell_thickness = 1\r\n", "m.start()\r\n", "fabm_fitered = numpy.empty_like(values)\r\n", "for i, (time, value) in enumerate(zip(times, values)):\r\n", " invar.value = value\r\n", " m.getRates(time, surface=True)\r\n", " fabm_fitered[i] = outvar.value\r\n", "\r\n", "fig, ax = pyplot.subplots(figsize=(15, 4))\r\n", "ax.plot(times, values)\r\n", "ax.plot(times, fabm_fitered)\r\n", "ax.grid()\r\n", "\r\n", "fig, ax = pyplot.subplots(figsize=(15, 4))\r\n", "ax.plot(times, fabm_fitered - analytical)\r\n", "ax.grid()\r\n" ], "outputs": [], "metadata": {} }, { "cell_type": "code", "execution_count": null, "source": [], "outputs": [], "metadata": {} } ], "metadata": { "orig_nbformat": 4, "language_info": { "name": "python", "version": "3.8.8", "mimetype": "text/x-python", "codemirror_mode": { "name": "ipython", "version": 3 }, "pygments_lexer": "ipython3", "nbconvert_exporter": "python", "file_extension": ".py" }, "kernelspec": { "name": "python3", "display_name": "Python 3.8.8 64-bit ('base': conda)" }, "interpreter": { "hash": "97ae724bfa85b9b34df7982b8bb8c7216f435b92902d749e4263f71162bea840" } }, "nbformat": 4, "nbformat_minor": 2 }
gpl-2.0
eds-uga/csci4360-fa17
workshops/w7/Workshop6_ Auto-Differentiation.ipynb
1
5624
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Autograd" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import time" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Have to install `autograd` module first: `pip install autograd`" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [], "source": [ "import autograd.numpy as np # Thinly-wrapped version of Numpy\n", "from autograd import grad" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### EX1, Normal Numpy" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Gradient at x = 1.0\n", " 0.393223866483\n", "Operation time:\n", " 0.0029020309448242188\n" ] } ], "source": [ "def tanh(x):\n", " y = np.exp(-x)\n", " return (1.0 - y) / (1.0 + y)\n", "\n", "start = time.time()\n", "\n", "grad_tanh = grad(tanh)\n", "print (\"Gradient at x = 1.0\\n\", grad_tanh(1.0))\n", "\n", "end = time.time()\n", "print(\"Operation time:\\n\", end-start)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### EX2-1, Taylor approximation to sine function" ] }, { "cell_type": "code", "execution_count": 22, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Gradient of sin(pi):\n", " -0.9998995297042174\n", "Operation time:\n", " 0.0029990673065185547\n" ] } ], "source": [ "def taylor_sine(x): \n", " ans = currterm = x\n", " i = 0\n", " while np.abs(currterm) > 0.001:\n", " currterm = -currterm * x**2 / ((2 * i + 3) * (2 * i + 2))\n", " ans = ans + currterm\n", " i += 1\n", " return ans\n", "\n", "start = time.time()\n", "\n", "grad_sine = grad(taylor_sine)\n", "print (\"Gradient of sin(pi):\\n\", grad_sine(np.pi))\n", "\n", "end = time.time()\n", "print(\"Operation time:\\n\", end-start)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### EX2-2, Second-order gradient" ] }, { "cell_type": "code", "execution_count": 23, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Gradient of second-order:\n", " 0.0004451602382100628\n", "Operation time:\n", " 0.0070531368255615234\n" ] } ], "source": [ "start = time.time()\n", "\n", "#second-order\n", "ggrad_sine = grad(grad_sine)\n", "print (\"Gradient of second-order:\\n\", ggrad_sine(np.pi))\n", "\n", "end = time.time()\n", "print(\"Operation time:\\n\", end-start)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### EX3, Logistic Regression\n", "A common use case for automatic differentiation is to train a probabilistic model. <br>\n", "A Simple (but complete) example of specifying and training a logistic regression model for binary classification:" ] }, { "cell_type": "code", "execution_count": 24, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Initial loss: 2.77258872224\n", "Trained loss: 0.389007543156\n" ] } ], "source": [ "def sigmoid(x):\n", " return 0.5*(np.tanh(x) + 1)\n", "\n", "def logistic_predictions(weights, inputs):\n", " # Outputs probability of a label being true according to logistic model.\n", " return sigmoid(np.dot(inputs, weights))\n", "\n", "def training_loss(weights):\n", " # Training loss is the negative log-likelihood of the training labels.\n", " preds = logistic_predictions(weights, inputs)\n", " label_probabilities = preds * targets + (1 - preds) * (1 - targets)\n", " return -np.sum(np.log(label_probabilities))\n", "\n", "# Build a toy dataset.\n", "inputs = np.array([[0.52, 1.12, 0.77],\n", " [0.88, -1.08, 0.15],\n", " [0.52, 0.06, -1.30],\n", " [0.74, -2.49, 1.39]])\n", "targets = np.array([True, True, False, True])\n", "\n", "# Define a function that returns gradients of training loss using autograd.\n", "training_gradient_fun = grad(training_loss)\n", "\n", "# Optimize weights using gradient descent.\n", "weights = np.array([0.0, 0.0, 0.0])\n", "print (\"Initial loss:\", training_loss(weights))\n", "\n", "for i in range(100):\n", " weights -= training_gradient_fun(weights) * 0.01\n", "\n", "print (\"Trained loss:\", training_loss(weights))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.2" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
DavidBrear/sklearn-cookbook
Chapter 2/2.3 Using Ridge Regression to Overcome Linear Regression Shortfalls.ipynb
1
87638
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Learning about Ridge Regression\n", "# Ridge Regression introduces a regularization parameter to\n", "# \"shrink\" coefficients.\n", "# Useful when the dataset has collinear factors (when the points\n", "# lie in a line or in a row)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# For this example we need a dataset with a low effective rank\n", "# From linear algebra, the rank of a matrix is the number of\n", "# independent rows or columns.\n", "# Important Fact: Linear Regression assumes full rank (meaning \n", "# all the rows are linearly independent)" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from sklearn.datasets import make_regression\n", "from sklearn.linear_model import LinearRegression\n", "reg_data, reg_target = make_regression(n_samples = 2000, n_features = 3, effective_rank=2, noise=10)" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np\n", "n_bootstraps = 1000\n", "len_data = len(reg_data)\n", "lr = LinearRegression()" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": true }, "outputs": [], "source": [ "subsample_size = np.int(0.75 * len_data)\n", "subsample = lambda: np.random.choice(np.arange(0, len_data), size=subsample_size)" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [], "source": [ "coefs = np.ones((n_bootstraps, 3))\n", "for i in range(n_bootstraps):\n", " subsample_idx = subsample()\n", " subsample_X = reg_data[subsample_idx]\n", " subsample_y = reg_target[subsample_idx]\n", " lr.fit(subsample_X, subsample_y)\n", " coefs[i][0] = lr.coef_[0]\n", " coefs[i][1] = lr.coef_[1]\n", " coefs[i][2] = lr.coef_[2]" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x1178db710>" ] }, "execution_count": 32, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAbIAAAFCCAYAAACU4VgFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x117139150>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import matplotlib.pyplot as plt\n", "\n", "f = plt.figure(figsize=(7,5))\n", "ax = f.add_subplot(111)\n", "ax.hist([c[0] for c in coefs], color='r', bins=30)\n", "ax = f.add_subplot(111)\n", "ax.hist([c[1] for c in coefs], color='g', bins=30)\n", "ax = f.add_subplot(111)\n", "ax.hist([c[2] for c in coefs], color='b', bins=30)\n", "ax.set_title(\"Histogram of coefficients for Lin Reg\")" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x117b89350>" ] }, "execution_count": 33, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAs0AAAH8CAYAAAAqgZIUAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSUMYmiVJkqQh/gNtLjCIetInHgAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x117936490>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "f, ax = plt.subplots(figsize=(10,7), nrows=3)\n", "f.tight_layout()\n", "ax[0].hist([c[0] for c in coefs], color='r', bins=10)\n", "ax[1].hist([c[1] for c in coefs], color='g', bins=10)\n", "ax[2].hist([c[2] for c in coefs], color='b', bins=10)\n", "ax[0].set_title(\"Histogram of coefficients for Lin Reg\")" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from sklearn.linear_model import Ridge" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": true }, "outputs": [], "source": [ "r = Ridge()" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": true }, "outputs": [], "source": [ "n_bootstraps = 1000\n", "len_data = len(reg_data)\n", "subsample_size = np.int(0.75 * len_data)" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": true }, "outputs": [], "source": [ "subsample = lambda: np.random.choice(np.arange(0, len_data), size=subsample_size)" ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "collapsed": true }, "outputs": [], "source": [ "coefs_r = np.ones((n_bootstraps, 3))" ] }, { "cell_type": "code", "execution_count": 39, "metadata": { "collapsed": false }, "outputs": [], "source": [ "for i in range(n_bootstraps):\n", " subsample_idx = subsample()\n", " subsample_X = reg_data[subsample_idx]\n", " subsample_y = reg_target[subsample_idx]\n", " r.fit(subsample_X, subsample_y)\n", " coefs_r[i][0] = r.coef_[0]\n", " coefs_r[i][1] = r.coef_[1]\n", " coefs_r[i][2] = r.coef_[2]\n", "\n" ] }, { "cell_type": "code", "execution_count": 40, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x118241110>" ] }, "execution_count": 40, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAsoAAAH8CAYAAADIXYltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nJEktLJQlSZKkFv8DxUR4AWHZtZgAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x117edbed0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "f, ax = plt.subplots(figsize=(10,7), nrows=3)\n", "f.tight_layout()\n", "ax[0].hist([c[0] for c in coefs_r], color='r', bins=10)\n", "ax[1].hist([c[1] for c in coefs_r], color='g', bins=10)\n", "ax[2].hist([c[2] for c in coefs_r], color='b', bins=10)\n", "ax[0].set_title(\"Coefficent 0\")\n", "ax[1].set_title(\"Coefficent 1\")\n", "ax[2].set_title(\"Coefficent 2\")" ] }, { "cell_type": "code", "execution_count": 46, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x11a72db90>" ] }, "execution_count": 46, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAs0AAAH8CAYAAAAqgZIUAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n1MLQLEmSJLUwNEuSJEktDM2SJElSi/8PFsd8yqHQ41YAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11a2ec890>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "f, ax = plt.subplots(figsize=(10,7), nrows=3)\n", "f.tight_layout()\n", "ax[0].hist([c[0] for c in coefs_r], color='r', bins=10, label='Ridge')\n", "ax[1].hist([c[1] for c in coefs_r], color='r', bins=10, label='Ridge')\n", "ax[2].hist([c[2] for c in coefs_r], color='r', bins=10, label='Ridge')\n", "\n", "ax[0].hist([c[0] for c in coefs], color='b', alpha=.5, bins=10, label='Linear')\n", "ax[1].hist([c[1] for c in coefs], color='b', alpha=.5, bins=10, label='Linear')\n", "ax[2].hist([c[2] for c in coefs], color='b', alpha=.5, bins=10, label='Linear')\n", "ax[0].legend(loc='best')\n", "ax[1].legend(loc='best')\n", "ax[2].legend(loc='best')\n", "ax[0].set_title(\"Coefficent 0\")\n", "ax[1].set_title(\"Coefficent 1\")\n", "ax[2].set_title(\"Coefficent 2\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.8" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
fdmazzone/Ecuaciones_Diferenciales
Teoria_Basica/Practicas/Practicas 2017/Practica+3.ipynb
1
52430
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from sympy import *\n", "init_printing()\n", "t,x0,x1,x2,C=symbols('t,x0,x1,x2,C',real=true)" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAHUAAAAUBAMAAABBgm9wAAAAMFBMVEX///8AAAAAAAAAAAAAAAAA\nAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAv3aB7AAAAD3RSTlMAIma7zZnddlTvRIkQ\nqzLsm4+cAAAACXBIWXMAAA7EAAAOxAGVKw4bAAABoElEQVQ4EZ1TMUvDYBB9iW2MJo1BwcGl6T9Q\nwU0xbk5aHAQHMZM6CC2IiIiog+Do7GInQQTNIi6CEZXiIILgKGRxtjqJVOpdEmLSplR9w+Xu3Xu5\nL1++DxAM/Ac5NvX8yqkuPz8KdkSa0akYixDN0zUD8owR6asmIOYjRNP0eolaczwqxDkgxYiwU5dM\nOkQcxcg7oDdGNCnSH9x4iXVTJawQUd7oWy/GGnVF1mLiKqZU8hgEVFtckEycDTmsSMJl8OZAqZkk\nEk0sAoqjVGVd0TNMJaLg+rSv1GYtKmUL+zQXqX7eNO3NV/zEm3nGBA4c5pxAyTZAq7AX6KI1SSXh\nk/MkFJiUXQqsDL20ZuDV5ShXOSbB+7Np7nhKb26nxXslO5tod2hysCON7qxN3EWo9Lwi7S5wujWK\nbmpS+mDSsxHyO7DjhErPm7ZxCNyvlqcM/3RKfPYScDvyVCI6UHrelBs5k8c4AbYTjA2U56UDGd4F\nbXx3GOAPawl5jyR0FzAQSDtqtQrUYksjIEx/FcF3MH73xV9YfUmbTk/BoPB35IBvW0lcAgRlOy4A\nAAAASUVORK5CYII=\n", "text/latex": [ "$$\\left ( x_{2}, \\quad - C x_{1}\\right )$$" ], "text/plain": [ "(x₂, -C⋅x₁)" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# defino la función F\n", "def F(x):\n", " F=(x[1],-x[0]*C)\n", " return F\n", "\n", "F((x1,x2))\n", "\n" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "<h1> Ejercicio resorte <h1>" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAw0AAAA0BAMAAAA6UskrAAAAMFBMVEX///8AAAAAAAAAAAAAAAAA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\nLYoAAAAASUVORK5CYII=\n", "text/latex": [ "$$\\left ( \\frac{C^{4} t^{9}}{362880} - \\frac{C^{3} t^{7}}{5040} + \\frac{C^{2} t^{5}}{120} - \\frac{C t^{3}}{6} + t, \\quad - \\frac{C^{6} t^{10}}{3628800} + \\frac{C^{4} t^{8}}{40320} - \\frac{C^{3} t^{6}}{720} + \\frac{C^{2} t^{4}}{24} - \\frac{C t^{2}}{2} + 1\\right )$$" ], "text/plain": [ "⎛ 4 9 3 7 2 5 3 6 10 4 8 3 6 2 4 2 \n", "⎜C ⋅t C ⋅t C ⋅t C⋅t C ⋅t C ⋅t C ⋅t C ⋅t C⋅t \n", "⎜────── - ───── + ───── - ──── + t, - ─────── + ───── - ───── + ───── - ──── +\n", "⎝362880 5040 120 6 3628800 40320 720 24 2 \n", "\n", " ⎞\n", " ⎟\n", " 1⎟\n", " ⎠" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#calculo la phi_n por el método de Picard\n", "x0=(0,1)\n", "a=(0,C)\n", "for j in range(10):\n", " a=((x0[0]+integrate(F(a)[0],t),x0[1]+integrate(F(a)[1],t)))\n", "\n", "a\n" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAATIAAAAwBAMAAABgV+tJAAAAMFBMVEX///8AAAAAAAAAAAAAAAAA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\n", "text/latex": [ "$$\\frac{C^{4} t^{9}}{362880} - \\frac{C^{3} t^{7}}{5040} + \\frac{C^{2} t^{5}}{120} - \\frac{C t^{3}}{6} + t$$" ], "text/plain": [ " 4 9 3 7 2 5 3 \n", "C ⋅t C ⋅t C ⋅t C⋅t \n", "────── - ───── + ───── - ──── + t\n", "362880 5040 120 6 " ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#calculo el polinomio de Taylor de la solución\n", "serietaylor=0\n", "f=1/sqrt(C)*sin(sqrt(C)*t)\n", "b=f.subs(t,0)\n", "j=0\n", "for j in range(10):\n", " serietaylor=serietaylor+b/(factorial(j))*t**j\n", " f=f.diff(t)\n", " b=f.subs(t,0)\n", " j=j+1\n", "serietaylor" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "\n", "<h1> Ejercicio $X'=AX$ <h4>" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAJ0AAAAUBAMAAABltyL1AAAAMFBMVEX///8AAAAAAAAAAAAAAAAA\nAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAv3aB7AAAAD3RSTlMAIma7zZnddlTvRIkQ\nqzLsm4+cAAAACXBIWXMAAA7EAAAOxAGVKw4bAAABzElEQVQ4Ea1UMSwDYRT+7nrOtb1WkRpMZTAj\nsQknFpM0zJIbBAmCQQwqWITEYDZ1YpDQRQwkvUHSiAgWXTvorCYijfP+Vnm9u0qKN/z/e9//vi/v\nv3fvB6QY/ss6hFDrH9Si4wOcHYpQNMSR+nz9Dukko+gGIMcZUKcbsuDf45wzQBU1/tL8JkLvnHsF\ntPG4Tl8pOvSUJJZJI7PWvrr4k5a+cn9Id/EwtcjZwTh6AT0lT6sGTvssD0IJukbX4iTw6D4/SXG2\nbGAGCFrBohYJRkKGO7+MXCBtbSL/4D6fr2JrJvapPijdojHhZ2f+5ZSwUVgYFkdbpfMKKIKGeBU7\nXBB6QBN9PTUpvQnf214EXNbjCeLrMzbp0X2Bp5xYtaJYPS1c+i1celoczZwdMEU/NGsdjRZVWLPH\nu40FJDzqywNLnC1TV4GTjUG0UFnk3hq0uyzw6itIMbeeNHs+YTI2GlI4AG5WMmOULSZPnXOJEaBn\nE8udtDvvq9i2bTI2lBybtyMcA5teehXMqVfBxV5i07B9vQfhke1+IMVznP6OE/iOy2wxQz2foN+2\nC9BrtoSyogvZbwGHV2YbhFa9p7Ijrb7QF6F8KUbL/1gH8AHrg3kx1u5yrQAAAABJRU5ErkJggg==\n", "text/latex": [ "$$\\left ( x_{2}, \\quad - x_{1} - 2 x_{2}\\right )$$" ], "text/plain": [ "(x₂, -x₁ - 2⋅x₂)" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#defino G\n", "def G(x):\n", " G=(x[1],-x[0]-2*x[1])\n", " return G\n", "\n", "G((x1,x2))" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAo0AAAAwBAMAAACGSF38AAAAMFBMVEX///8AAAAAAAAAAAAAAAAA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\naU1CjVm0aqzV+7+MwH8AFyJRLEGtZvQAAAAASUVORK5CYII=\n", "text/latex": [ "$$\\frac{11 t^{10}}{3628800} - \\frac{t^{9}}{36288} + \\frac{t^{8}}{4480} - \\frac{t^{7}}{630} + \\frac{7 t^{6}}{720} - \\frac{t^{5}}{20} + \\frac{5 t^{4}}{24} - \\frac{2 t^{3}}{3} + \\frac{3 t^{2}}{2} - 2 t + 1$$" ], "text/plain": [ " 10 9 8 7 6 5 4 3 2 \n", " 11⋅t t t t 7⋅t t 5⋅t 2⋅t 3⋅t \n", "─────── - ───── + ──── - ─── + ──── - ── + ──── - ──── + ──── - 2⋅t + 1\n", "3628800 36288 4480 630 720 20 24 3 2 " ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "x0=(0,1)\n", "a=x0\n", "for j in range(10):\n", " a=((x0[0]+integrate(G(a)[0],t),x0[1]+integrate(G(a)[1],t)))\n", "\n", "a[1].simplify()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<h1> Pasar de sistema de ecuaciones a ecuación sola <h1>" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "X=Function('X',positive=true)(t)\n", "Y=Function('Y',positive=true)(t)\n", "Z=Function('Z',positive=true)(t)" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAtAAAAAyBAMAAACHavEPAAAAMFBMVEX///8AAAAAAAAAAAAAAAAA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\n", "text/latex": [ "$$\\left ( \\frac{d}{d t} X{\\left (t \\right )} = X{\\left (t \\right )} + Z{\\left (t \\right )}, \\quad \\frac{d}{d t} Y{\\left (t \\right )} = t X{\\left (t \\right )} - Z{\\left (t \\right )}, \\quad \\frac{d}{d t} Z{\\left (t \\right )} = - t^{2} X{\\left (t \\right )} + Y{\\left (t \\right )}\\right )$$" ], "text/plain": [ "⎛d d d 2 \n", "⎜──(X(t)) = X(t) + Z(t), ──(Y(t)) = t⋅X(t) - Z(t), ──(Z(t)) = - t ⋅X(t) + Y(t)\n", "⎝dt dt dt \n", "\n", "⎞\n", "⎟\n", "⎠" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ec1=Eq(X.diff(t),X+Z)\n", "ec2=Eq(Y.diff(t),t*X-Z)\n", "ec3=Eq(Z.diff(t),-t**2*X+Y)\n", "ec1, ec2, ec3" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABCMAAAA0BAMAAABC/e/LAAAAMFBMVEX///8AAAAAAAAAAAAAAAAA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\nrgAAAABJRU5ErkJggg==\n", "text/latex": [ "$$\\left ( \\frac{d}{d t} X{\\left (t \\right )} = X{\\left (t \\right )} + Z{\\left (t \\right )}, \\quad \\frac{d^{2}}{d t^{2}} X{\\left (t \\right )} = - t^{2} X{\\left (t \\right )} + Y{\\left (t \\right )} + \\frac{d}{d t} X{\\left (t \\right )}, \\quad \\frac{d^{3}}{d t^{3}} X{\\left (t \\right )} = - t^{2} \\frac{d}{d t} X{\\left (t \\right )} - t X{\\left (t \\right )} - Z{\\left (t \\right )} + \\frac{d^{2}}{d t^{2}} X{\\left (t \\right )}\\right )$$" ], "text/plain": [ "⎛ 2 3 \n", "⎜d d 2 d d \n", "⎜──(X(t)) = X(t) + Z(t), ───(X(t)) = - t ⋅X(t) + Y(t) + ──(X(t)), ───(X(t)) = \n", "⎜dt 2 dt 3 \n", "⎝ dt dt \n", "\n", " 2 ⎞\n", " 2 d d ⎟\n", "- t ⋅──(X(t)) - t⋅X(t) - Z(t) + ───(X(t))⎟\n", " dt 2 ⎟\n", " dt ⎠" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ec4=Eq(ec1.lhs.diff(t),ec1.rhs.diff(t).subs(Y.diff(t),ec2.rhs).subs(Z.diff(t),ec3.rhs))\n", "ec5=Eq(ec4.lhs.diff(t),ec4.rhs.diff(t).subs(Y.diff(t),ec2.rhs).subs(Z.diff(t),ec3.rhs))\n", "ec1, ec4, ec5\n" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAB/4AAAA0BAMAAABx8I0zAAAAMFBMVEX///8AAAAAAAAAAAAAAAAA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\n", "text/latex": [ "$$\\left \\{ X{\\left (t \\right )} : \\frac{1}{t - 1} \\left(- t^{2} \\frac{d}{d t} X{\\left (t \\right )} - \\frac{d}{d t} X{\\left (t \\right )} + \\frac{d^{2}}{d t^{2}} X{\\left (t \\right )} - \\frac{d^{3}}{d t^{3}} X{\\left (t \\right )}\\right), \\quad Y{\\left (t \\right )} : \\frac{1}{t - 1} \\left(t^{2} \\left(- t^{2} \\frac{d}{d t} X{\\left (t \\right )} + \\frac{d^{2}}{d t^{2}} X{\\left (t \\right )} - \\frac{d^{3}}{d t^{3}} X{\\left (t \\right )}\\right) - t^{2} \\frac{d}{d t} X{\\left (t \\right )} + \\left(t - 1\\right) \\left(- \\frac{d}{d t} X{\\left (t \\right )} + \\frac{d^{2}}{d t^{2}} X{\\left (t \\right )}\\right)\\right), \\quad Z{\\left (t \\right )} : \\frac{1}{t - 1} \\left(t^{2} \\frac{d}{d t} X{\\left (t \\right )} + t \\frac{d}{d t} X{\\left (t \\right )} - \\frac{d^{2}}{d t^{2}} X{\\left (t \\right )} + \\frac{d^{3}}{d t^{3}} X{\\left (t \\right )}\\right)\\right \\}$$" ], "text/plain": [ "⎧ 2 3 ⎛ \n", "⎪ 2 d d d d 2 ⎜ 2 d \n", "⎪ - t ⋅──(X(t)) - ──(X(t)) + ───(X(t)) - ───(X(t)) t ⋅⎜- t ⋅──(X(t\n", "⎨ dt dt 2 3 ⎜ dt \n", "⎪ dt dt ⎝ \n", "⎪X(t): ────────────────────────────────────────────────, Y(t): ───────────────\n", "⎩ t - 1 \n", "\n", " 2 3 ⎞ ⎛ 2 ⎞ \n", " d d ⎟ 2 d ⎜ d d ⎟ \n", ")) + ───(X(t)) - ───(X(t))⎟ - t ⋅──(X(t)) + (t - 1)⋅⎜- ──(X(t)) + ───(X(t))⎟ \n", " 2 3 ⎟ dt ⎜ dt 2 ⎟ \n", " dt dt ⎠ ⎝ dt ⎠ \n", "────────────────────────────────────────────────────────────────────────────, \n", " t - 1 \n", "\n", " 2 3 ⎫\n", " 2 d d d d ⎪\n", " t ⋅──(X(t)) + t⋅──(X(t)) - ───(X(t)) + ───(X(t))⎪\n", " dt dt 2 3 ⎬\n", " dt dt ⎪\n", "Z(t): ────────────────────────────────────────────────⎪\n", " t - 1 ⎭" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "solve([ec1,ec4,ec5], [X,Y,Z])" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAPAAAAAyBAMAAACQW0seAAAAMFBMVEX///8AAAAAAAAAAAAAAAAA\nAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAv3aB7AAAAD3RSTlMA74lUMhAiZrvNmd12\nRKuJdf+/AAAACXBIWXMAAA7EAAAOxAGVKw4bAAAEXElEQVRYCaWYPYzURhiG312v1/tzd5yUABdQ\nwgohJUKR7mhoIrGLRFKkYRelSUA5VyAdEncVRVKwitIkaVYKBSCUGCqKCDZEiSIOoYUiBSJiKanY\nIhESOsHxc4QgyGVmvvHa65nz2Z4p7Jn3+3k84/F4bGxbfYKMJd9PG2gPKGJ69THe2Pdh2nDff6df\nSX6+S66f7NuFN5NHRTzzg4iQoOl0pNNeA/CuJk9CxwRMcvzKHGy/zXLYDx4J/Lpg37E1Q64GPS55\nIsXtZGCAHK1JY3CdiCnBuGwMvkIZ0oLrrojLPtTO82zgqmcIrrazgZ0VQ/D+fjaw/coQfI64crLK\nRtzJnwynDcHvZAXfdnlk5slV+CcreH7BCDwmJ3X6oc4NQuAj8vJ1p+M6ESW5Al3c9oPogdYpLA4d\nS10u01AX28Bn7+4I+wX1CTeoB7VcO6inq+WXuT+Byy7wPQ6yo6bYHY2IWU+nJtGc19yLwF8AziTy\nWgLwvi7d/p5OTaIVxINM4N+A0gAWrSlKcEtRmFCf0alJNPsl9xLgYhfYMMD4U31cua/RTzQ0YjLp\nP+4mwGMe+D0bp8UsFF08/9NGIM/MSqFlQJGlMLfzenMtG15wiwCXFoD5GgrKdvOOW15mt7+jyTHt\nakRfGt+EH/26en6LSwJcnWFgTwXbm1FpA1ZXDcalpkb0pdYCLvh19byVSwKc6+uHurKCag8oLKvB\neETaKi+KnV3VVW7/dJGXk7wacvyZt4dgNrms6ORii8RsIx7Mc6hlCvYzVfWVAMyHutSGfEX7djYK\nNdRZa7wbSMNa3FDbT2ApozCMxCKvDicXW0AqncAoarMz+MZy008u+ylKnd2RZEEzmFziefkFrYb9\nb2BmtXLPuZYHKjW2fx61sM1qI+w6O3rNZ/HlgAWtUaa4LnosxvLArb+AxWbY2V7acexPxm8wcdQC\nnOiHPau/hlv4/MZHSyP2EWuwcoEtmVQsV1bCp6O8YbnsECp1mXjuwtdcXXtgg5iPqcpmACuix2Av\nCSpsYNUiXhJRi3xJ2ANM80uoqWGK8jsp4ZdEyZVehxRvdns7XIxaNnjCdaKJ3CTz6YlW7GFM3Fs2\nduKppR4X2zJkQRNKVxW1VMXlINfFBHtkHU1cVDpyipT8c34mMNJvfSrLIk15RYApZfyxJsETIlKC\n40N01mC1qa7xGo9EOa4E06YpM7gwXF/v1SIIffMQJHi+xx0yg+Fv6HFND4qqNR9Mb/Ls4Esyc8WL\nIrRtq+GDvxP27OB7fQLc1HIU8QAk2PijTX7nWh4OKxSNsOfhw8dLXB+juZi9x3Jas3XwDw1HJ00J\nkb5gDCYXtvA8xcvbL3Z1FI32Qmh1V5yy9xji50uZbWkSgt9bvc+RZwTXpMdlj1KkO1psv8yLQY+L\nMdsqSq47tuTDYADGB7rE62nfSgcTcGWwHkW1O22p7TX5bSyeSzV5nHKXjPy3scGP8oq8X3GkUZv9\nN7XZj/L/AaopCLKHSBgMAAAAAElFTkSuQmCC\n", "text/latex": [ "$$\\left [ \\left ( 0, \\quad a\\right ), \\quad \\left ( \\frac{1}{2}, \\quad a - \\frac{1}{4}\\right )\\right ]$$" ], "text/plain": [ "[(0, a), (1/2, a - 1/4)]" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a,x,y=symbols('a,x,y')\n", "solve([Eq(x**2+y,a), Eq(x+2*y,2*a)],[x,y])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<h1> Ejercicio de iteracion de Picard, $\\varphi'(x)=\\varphi(x)^2$ <h1>" ] }, { "cell_type": "code", "execution_count": 110, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAzgAAAAwBAMAAAAvGcJBAAAAMFBMVEX///8AAAAAAAAAAAAAAAAA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\n", "text/latex": [ "$$\\frac{x^{15}}{59535} + \\frac{x^{14}}{3969} + \\frac{x^{13}}{567} + \\frac{x^{12}}{126} + \\frac{5 x^{11}}{189} + \\frac{22 x^{10}}{315} + \\frac{86 x^{9}}{567} + \\frac{71 x^{8}}{252} + \\frac{29 x^{7}}{63} + \\frac{2 x^{6}}{3} + \\frac{13 x^{5}}{15} + x^{4} + x^{3} + x^{2} + x + 1$$" ], "text/plain": [ " 15 14 13 12 11 10 9 8 7 6 \n", " x x x x 5⋅x 22⋅x 86⋅x 71⋅x 29⋅x 2⋅x 13⋅\n", "───── + ──── + ─── + ─── + ───── + ────── + ───── + ───── + ───── + ──── + ───\n", "59535 3969 567 126 189 315 567 252 63 3 1\n", "\n", " 5 \n", "x 4 3 2 \n", "── + x + x + x + x + 1\n", "5 " ] }, "execution_count": 110, "metadata": {}, "output_type": "execute_result" } ], "source": [ "f=Function('f')(x)\n", "f=1+0*x\n", "p=1\n", "n=4\n", "\n", "for i in range(n):\n", " f=f.subs(x,t)\n", " f=1+integrate(f**2,(t,0,x))\n", " p=p+x**(i+1)\n", "f.expand()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 2 }
gpl-2.0
JoshuaW1990/TuftsCOMP135_Spring2016
Lecture05/notebooks/instance_based_learning.ipynb
6
190555
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "import scipy\n", "import scipy.spatial\n", "\n", "np.random.seed( 2503865 ) # We'll set the random number generator's seed so everyone generates the exact same dataset" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Let's define 2 clusters defined by Gaussians (we'll call them clumps to avoid confusion later)\n", "sigma = 0.05\n", "\n", "clump1_N = 100\n", "clump1_x = [ np.random.normal(loc=0.25,scale=sigma) for i in range(clump1_N) ]\n", "clump1_y = [ np.random.normal(loc=0.75,scale=sigma) for i in range(clump1_N) ]\n", "\n", "clump2_N = clump1_N\n", "clump2_x = [ np.random.normal(loc=0.75,scale=sigma) for i in range(clump2_N) ]\n", "clump2_y = [ np.random.normal(loc=0.25,scale=sigma) for i in range(clump2_N) ]" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.collections.PathCollection at 0x1095a3350>" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYEAAAEACAYAAABVtcpZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nsP8AhBZ+6IS9Ht0AAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x109429290>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "points_x = clump1_x + clump2_x\n", "points_y = clump1_y + clump2_y\n", "clump1_color = 0\n", "clump2_color = 1\n", "clump_area = 75\n", "colors = [ clump1_color for i in range(clump1_N) ] + [ clump2_color for i in range(clump2_N) ]\n", "areas = [ clump_area for i in range(clump1_N+clump2_N) ]\n", "\n", "plt.scatter( points_x, points_y, c=colors, s=areas )" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "clump3_N = 5\n", "clump3_x = [ np.random.normal(loc=0.5,scale=sigma) for i in range(clump3_N) ]\n", "clump3_y = [ np.random.normal(loc=0.5,scale=sigma) for i in range(clump3_N) ]" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.collections.PathCollection at 0x10965a110>" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYEAAAEACAYAAABVtcpZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x109499350>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "points_x = clump1_x + clump2_x + clump3_x\n", "points_y = clump1_y + clump2_y + clump3_y\n", "clump1_color = 0\n", "clump2_color = 1\n", "clump3_color = 0.5\n", "clump_area = 75\n", "colors = [ clump1_color for i in range(clump1_N) ] + [ clump2_color for i in range(clump2_N) ] + [ clump3_color for i in range(clump3_N) ]\n", "areas = [ clump_area for i in range(clump1_N+clump2_N+clump3_N) ]\n", "\n", "plt.scatter( points_x, points_y, c=colors, s=areas )\n", "#plt.savefig('../images/instance_based_learning_001.png')" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "5" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "points_x = clump1_x + clump2_x\n", "points_y = clump1_y + clump2_y\n", "\n", "dataset = np.matrix( zip(points_x,points_y) )\n", "\n", "kdtree = scipy.spatial.KDTree( dataset )\n", "\n", "query_result = kdtree.query( [0.5, 0.5], k=11 )\n", "\n", "clump1_vote = np.sum( [ 1 for nbr_idx in query_result[1] if nbr_idx < clump1_N ] )\n", "clump1_vote" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[(0.4321165144024861, 0.5211474409692098),\n", " (0.15579873019186657, 0.2804603019953104),\n", " (0.1835129990151247, 0.5205232517949502),\n", " (0.4092565599773905, 0.25514455676883446),\n", " (0.39304769209670315, 0.6332582361708902)]" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "zip(clump3_x,clump3_y)" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[57, 63, 77, 41, 69]" ] }, "execution_count": 20, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dataset = np.array( zip((clump1_x + clump2_x), (clump1_y + clump2_y)) )\n", "kdtree = scipy.spatial.KDTree( dataset )\n", "\n", "kNN_k = 101\n", "\n", "query_dataset = np.array( zip(clump3_x,clump3_y) )\n", "query_result = kdtree.query( query_dataset, k=kNN_k )\n", "\n", "query_votes = [ np.sum( [ 1 for nbr_idx in row_result if nbr_idx < clump1_N ] ) for row_result in query_result[1] ]\n", "query_votes" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.collections.PathCollection at 0x109a87390>" ] }, "execution_count": 21, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYEAAAEACAYAAABVtcpZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1094a7e50>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "points_x = clump1_x + clump2_x + clump3_x\n", "points_y = clump1_y + clump2_y + clump3_y\n", "clump1_color = 0\n", "clump2_color = 1\n", "clump3_color = 0.5\n", "clump_area = 75\n", "colors = [ clump1_color for i in range(clump1_N) ] \n", "colors += [ clump2_color for i in range(clump2_N) ] \n", "colors += [ clump1_color if ( query_votes[i] > ( kNN_k / 2 ) ) else clump2_color for i in range(clump3_N) ]\n", "areas = [ clump_area for i in range(clump1_N+clump2_N+clump3_N) ]\n", "\n", "plt.scatter( points_x, points_y, c=colors, s=areas )\n", "#plt.savefig('../images/instance_based_learning_002.png')" ] }, { "cell_type": "code", "execution_count": 58, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Taken from some code for plotting a KD-tree in Python available here:\n", "# https://salzis.wordpress.com/2014/06/28/kd-tree-and-nearest-neighbor-nn-search-2d-case/\n", "\n", "from collections import namedtuple\n", "from operator import itemgetter\n", "from pprint import pformat\n", "\n", "class Node(namedtuple('Node', 'location left_child right_child')):\n", " \n", " def __repr__(self):\n", " return pformat(tuple(self))\n", " \n", "def viz_kdtree(point_list, depth=0):\n", " \"\"\" build K-D tree\n", " :param point_list list of input points\n", " :param depth current tree's depth\n", " :return tree node\n", " \"\"\"\n", " \n", " # assumes all points have the same dimension\n", " try:\n", " k = len(point_list[0])\n", " except IndexError:\n", " return None\n", " \n", " # Select axis based on depth so that axis cycles through\n", " # all valid values\n", " axis = depth % k\n", " \n", " # Sort point list and choose median as pivot element\n", " point_list.sort(key=itemgetter(axis))\n", " median = len(point_list) // 2 # choose median\n", " \n", " # Create node and construct subtrees\n", " return Node(\n", " location=point_list[median],\n", " left_child=viz_kdtree(point_list[:median], depth + 1),\n", " right_child=viz_kdtree(point_list[median + 1:], depth + 1)\n", " )\n", "\n", " \n", "def plot_tree(tree, min_x, max_x, min_y, max_y, prev_node, branch, depth=0):\n", " \"\"\" plot K-D tree\n", " :param tree input tree to be plotted\n", " :param min_x\n", " :param max_x\n", " :param min_y\n", " :param max_y\n", " :param prev_node parent's node\n", " :param branch True if left, False if right\n", " :param depth tree's depth\n", " :return tree node\n", " \"\"\"\n", " \n", " cur_node = tree.location # current tree's node\n", " left_branch = tree.left_child # its left branch\n", " right_branch = tree.right_child # its right branch\n", " \n", " # set line's width depending on tree's depth\n", " if depth > len(line_width)-1:\n", " ln_width = line_width[len(line_width)-1]\n", " else:\n", " ln_width = line_width[depth]\n", " \n", " k = len(cur_node)\n", " axis = depth % k\n", " \n", " # draw a vertical splitting line\n", " if axis == 0:\n", " \n", " if branch is not None and prev_node is not None:\n", " \n", " if branch:\n", " max_y = prev_node[1]\n", " else:\n", " min_y = prev_node[1]\n", " \n", " plt.plot([cur_node[0],cur_node[0]], [min_y,max_y], linestyle='-', color='red', linewidth=ln_width)\n", " \n", " # draw a horizontal splitting line\n", " elif axis == 1:\n", " \n", " if branch is not None and prev_node is not None:\n", " \n", " if branch:\n", " max_x = prev_node[0]\n", " else:\n", " min_x = prev_node[0]\n", " \n", " plt.plot([min_x,max_x], [cur_node[1],cur_node[1]], linestyle='-', color='blue', linewidth=ln_width)\n", " \n", " # draw the current node\n", " plt.plot(cur_node[0], cur_node[1], 'ko')\n", " \n", " # draw left and right branches of the current node\n", " if left_branch is not None:\n", " plot_tree(left_branch, min_x, max_x, min_y, max_y, cur_node, True, depth+1)\n", " \n", " if right_branch is not None:\n", " plot_tree(right_branch, min_x, max_x, min_y, max_y, cur_node, False, depth+1)\n", " " ] }, { "cell_type": "code", "execution_count": 65, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAkYAAAJZCAYAAABMXfoiAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10aae7450>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "sigma = 0.25\n", "\n", "clump4_N = 10 #500\n", "clump4_x = [ np.random.normal(loc=0.5,scale=sigma) for i in range(clump4_N) ]\n", "clump4_y = [ np.random.normal(loc=0.5,scale=sigma) for i in range(clump4_N) ]\n", "\n", "vkdtree = viz_kdtree( zip(clump4_x, clump4_y) )\n", "\n", "# line width for visualization of K-D tree\n", "line_width = [4., 3.5, 3., 2.5, 2., 1.5, 1., .5, 0.3]\n", "\n", "min_val = 0\n", "max_val = 1\n", "delta = 0\n", "\n", "plt.figure(\"K-d Tree\", figsize=(10., 10.))\n", "plt.axis( [min_val-delta, max_val+delta, min_val-delta, max_val+delta] )\n", " \n", "plt.grid(b=True, which='major', color='0.75', linestyle='--')\n", "plt.xticks([i for i in range(min_val-delta, max_val+delta, 1)])\n", "plt.yticks([i for i in range(min_val-delta, max_val+delta, 1)])\n", " \n", "# draw the tree\n", "plot_tree(vkdtree, min_val-delta, max_val+delta, min_val-delta, max_val+delta, None, None)\n", " \n", "plt.title('K-D Tree')\n", "#plt.show()\n", "plt.savefig('../images/instance_based_learning_003.png')" ] }, { "cell_type": "code", "execution_count": 66, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAkYAAAJZCAYAAABMXfoiAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n+gAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1082bba50>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "vkdtree = viz_kdtree( zip((clump1_x + clump2_x), (clump1_y + clump2_y)) )\n", "\n", "# line width for visualization of K-D tree\n", "line_width = [4., 3.5, 3., 2.5, 2., 1.5, 1., .5, 0.3]\n", "\n", "min_val = 0\n", "max_val = 1\n", "delta = 0\n", "\n", "plt.figure(\"K-d Tree\", figsize=(10., 10.))\n", "plt.axis( [min_val-delta, max_val+delta, min_val-delta, max_val+delta] )\n", " \n", "plt.grid(b=True, which='major', color='0.75', linestyle='--')\n", "plt.xticks([i for i in range(min_val-delta, max_val+delta, 1)])\n", "plt.yticks([i for i in range(min_val-delta, max_val+delta, 1)])\n", " \n", "# draw the tree\n", "plot_tree(vkdtree, min_val-delta, max_val+delta, min_val-delta, max_val+delta, None, None)\n", " \n", "plt.title('K-D Tree')\n", "#plt.show()\n", "plt.savefig('../images/instance_based_learning_004.png')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
ioam/scipy-2017-holoviews-tutorial
notebooks/08-deploying-bokeh-apps.ipynb
1
12752
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "<a href='http://www.holoviews.org'><img src=\"assets/hv+bk.png\" alt=\"HV+BK logos\" width=\"40%;\" align=\"left\"/></a>\n", "<div style=\"float:right;\"><h2>08. Deploying Bokeh Apps</h2></div>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In the previous sections we discovered how to use a ``HoloMap`` to build a Jupyter notebook with interactive visualizations that can be exported to a standalone HTML file, as well as how to use ``DynamicMap`` and ``Streams`` to set up dynamic interactivity backed by the Jupyter Python kernel. However, frequently we want to package our visualization or dashboard for wider distribution, backed by Python but run outside of the notebook environment. Bokeh Server provides a flexible and scalable architecture to deploy complex interactive visualizations and dashboards, integrating seamlessly with Bokeh and with HoloViews.\n", "\n", "For a detailed background on Bokeh Server see [the bokeh user guide](http://bokeh.pydata.org/en/latest/docs/user_guide/server.html). In this tutorial we will discover how to deploy the visualizations we have created so far as a standalone bokeh server app, and how to flexibly combine HoloViews and Bokeh APIs to build highly customized apps. We will also reuse a lot of what we have learned so far---loading large, tabular datasets, applying datashader operations to them, and adding linked streams to our app." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## A simple bokeh app\n", "\n", "The preceding sections of this tutorial focused solely on the Jupyter notebook, but now let's look at a bare Python script that can be deployed using Bokeh Server:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "with open('./apps/server_app.py', 'r') as f:\n", " print(f.read())" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Of the three parts of this app, part 2 should be very familiar by now -- load some taxi dropoff locations, declare a Points object, datashade them, and set some plot options.\n", "\n", "Step 1 is new: Instead of loading the bokeh extension using ``hv.extension('bokeh')``, we get a direct handle on a bokeh renderer using the ``hv.renderer`` function. This has to be done at the top of the script, to be sure that options declared are passed to the Bokeh renderer. \n", "\n", "Step 3 is also new: instead of typing ``app`` to see the visualization as we would in the notebook, here we create a Bokeh document from it by passing the HoloViews object to the ``renderer.server_doc`` method. \n", "\n", "Steps 1 and 3 are essentially boilerplate, so you can now use this simple skeleton to turn any HoloViews object into a fully functional, deployable Bokeh app!\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Deploying the app\n", "\n", "Assuming that you have a terminal window open with the ``hvtutorial`` environment activated, in the ``notebooks/`` directory, you can launch this app using Bokeh Server:\n", "\n", "```\n", "bokeh serve --show apps/server_app.py\n", "```\n", "\n", "If you don't already have a favorite way to get a terminal, one way is to [open it from within Jupyter](../terminals/1), then make sure you are in the ``notebooks`` directory, and activate the environment using ``source activate hvtutorial`` (or ``activate tutorial`` on Windows). You can also [open the app script file](../edit/apps/server_app.py) in the inbuilt text editor, or you can use your own preferred editor." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Exercise: Modify the app to display the pickup locations and add a tilesource, then run the app with bokeh serve\n", "# Tip: Refer to the previous notebook\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Iteratively building a bokeh app in the notebook\n", "\n", "The above app script can be built entirely without using Jupyter, though we displayed it here using Jupyter for convenience in the tutorial. Jupyter notebooks are also often helpful when initially developing such apps, allowing you to quickly iterate over visualizations in the notebook, deploying it as a standalone app only once we are happy with it.\n", "\n", "To illustrate this process, let's quickly go through such a workflow. As before we will set up our imports, load the extension, and load the taxi dataset:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import holoviews as hv\n", "import geoviews as gv\n", "import dask.dataframe as dd\n", "\n", "from holoviews.operation.datashader import datashade, aggregate, shade\n", "from bokeh.models import WMTSTileSource\n", "\n", "hv.extension('bokeh', logo=False)\n", "\n", "usecols = ['tpep_pickup_datetime', 'dropoff_x', 'dropoff_y']\n", "ddf = dd.read_csv('../data/nyc_taxi.csv', parse_dates=['tpep_pickup_datetime'], usecols=usecols)\n", "ddf['hour'] = ddf.tpep_pickup_datetime.dt.hour\n", "ddf = ddf.persist()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next we define a ``Counter`` stream which we will use to select taxi trips by hour." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "stream = hv.streams.Counter()\n", "points = hv.Points(ddf, kdims=['dropoff_x', 'dropoff_y'])\n", "dmap = hv.DynamicMap(lambda counter: points.select(hour=counter%24).relabel('Hour: %s' % (counter % 24)),\n", " streams=[stream])\n", "shaded = datashade(dmap)\n", "\n", "hv.opts('RGB [width=800, height=600, xaxis=None, yaxis=None]')\n", "\n", "url = 'https://server.arcgisonline.com/ArcGIS/rest/services/World_Imagery/MapServer/tile/{Z}/{Y}/{X}.jpg'\n", "wmts = gv.WMTS(WMTSTileSource(url=url))\n", "\n", "overlay = wmts * shaded" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Up to this point, we have a normal HoloViews notebook that we could display using Jupyter's rich display of ``overlay``, as we would with an any notebook. But having come up with the objects we want interactively in this way, we can now display the result as a Bokeh app, without leaving the notebook. To do that, first edit the following cell to change \"8888\" to whatever port your jupyter session is using, in case your URL bar doesn't say \"localhost:8888/\".\n", "\n", "Then run this cell to launch the Bokeh app within this notebook:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "scrolled": false }, "outputs": [], "source": [ "renderer = hv.renderer('bokeh')\n", "server = renderer.app(overlay, show=True, websocket_origin='localhost:8888')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We could stop here, having launched an app, but so far the app will work just the same as in the normal Jupyter notebook, responding to user inputs as they occur. Having defined a ``Counter`` stream above, let's go one step further and add a series of periodic events that will let the visualization play on its own even without any user input:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "dmap.periodic(1)" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "You can stop this ongoing process by clearing the cell displaying the app.\n", "\n", "Now let's open the [text editor](../edit/apps/periodic_app.py) again and make this edit to a separate app, which we can then launch using Bokeh Server separately from this notebook." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "scrolled": true }, "outputs": [], "source": [ "# Exercise: Copy the example above into periodic_app.py and modify it so it can be run with bokeh serve\n", "# Hint: Use hv.renderer and renderer.server_doc\n", "# Note that you have to run periodic **after** creating the bokeh document\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Combining HoloViews with bokeh models" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now for a last hurrah let's put everything we have learned to good use and create a bokeh app with it. This time we will go straight to a [Python script containing the app](../edit/apps/player_app.py). If you run the app with ``bokeh serve --show ./apps/player_app.py`` from [your terminal](../terminals/1) you should see something like this:\n", "\n", "<img src=\"./assets/tutorial_app.gif\"></img>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This more complex app consists of several components:\n", "\n", "1. A datashaded plot of points for the indicated hour of the daty (in the slider widget)\n", "2. A linked ``PointerX`` stream, to compute a cross-section\n", "3. A set of custom bokeh widgets linked to the hour-of-day stream\n", "\n", "We have already covered 1. and 2. so we will focus on 3., which shows how easily we can combine a HoloViews plot with custom Bokeh models. We will not look at the precise widgets in too much detail, instead let's have a quick look at the callback defined for slider widget updates:\n", "\n", "```python\n", "def slider_update(attrname, old, new):\n", " stream.event(hour=new)\n", "```\n", "\n", "Whenever the slider value changes this will trigger a stream event updating our plots. The second part is how we combine HoloViews objects and Bokeh models into a single layout we can display. Once again we can use the renderer to convert the HoloViews object into something we can display with Bokeh:\n", "\n", "```python\n", "renderer = hv.renderer('bokeh')\n", "plot = renderer.get_plot(hvobj, doc=curdoc())\n", "```\n", "\n", "The ``plot`` instance here has a ``state`` attribute that represents the actual Bokeh model, which means we can combine it into a Bokeh layout just like any other Bokeh model:\n", "\n", "```python\n", "layout = layout([[plot.state], [slider, button]], sizing_mode='fixed')\n", "curdoc().add_root(layout)\n", "```" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Advanced Exercise: Add a histogram to the bokeh layout next to the datashaded plot\n", "# Hint: Declare the histogram like this: hv.operation.histogram(aggregated, bin_range=(0, 20))\n", "# then use renderer.get_plot and hist_plot.state and add it to the layout\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Onwards\n", "\n", "Although the code above is more complex than in previous sections, it's actually providing a huge range of custom types of interactivity, which if implemented in Bokeh alone would have required far more than a notebook cell of code. Hopefully it is clear that arbitrarily complex collections of visualizations and interactive controls can be built from the components provided by HoloViews, allowing you to make simple analyses very easily and making it practical to make even quite complex apps when needed. The [user guide](http://holoviews.org/user_guide), [gallery](http://holoviews.org/gallery/index.html), and [reference gallery](http://holoviews.org/reference) should have all the information you need to get started with all this power on your own datasets and tasks. Good luck!" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 2 }
bsd-3-clause
chemiskyy/simmit
Examples/Umats/Damage/LLDM.ipynb
1
122343
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Composites simulation : plies with damage & plasticity" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "\n", "import numpy as np\n", "import pandas as pd\n", "import pylab\n", "import matplotlib.pyplot as plt\n", "from simmit import smartplus as sim\n", "import os\n", "\n", "dir = os.path.dirname(os.path.realpath('__file__'))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We need to import here the data, modify them if needed and proceed with\n", "There are 17 parameters, that define the damage deterioration of the material,\n", "\n", "Parameters 1-7 are the material properties and are constant per each material.\n", "\n", "Parameters 8-17 define the damage in the shear direction coefficients used in the Ladeveze-Le Dantec model.\n", "\n", "1. $E_L$ $\\qquad$Longitudinal Young's modulus\n", "\n", "2. $E_T$ $\\qquad$Transverse Young's modulus\n", "\n", "3. $\\nu_{TL}$\t$\\qquad$Poisson Ratio transverse/longitudinal direction\n", "\n", "4. $\\nu_{TT}$\t$\\qquad$Poisson Ratio transverse/transverse direction\n", "\n", "5. $G_{LT}$\t$\\qquad$In-plane shear modulus\n", "\n", "6. $\\alpha_L$\t$\\qquad$CTE (Coefficient of thermal expansion) in the longitudinal direction\n", "\n", "7. $\\alpha_T$\t$\\qquad$CTE (Coefficient of thermal expansion) in the transverse direction\n", "\n", "8. $Y_{{12}_0}$\t$\\qquad$Critical driving force for damage in the shear direction\n", "\n", "9. $Y_{{12}_c}$\t$\\qquad$Damage - driving force relation\n", "\n", "10. $Y_{{22}_0}$$\\qquad$Critical driving force for damage in the transverse direction\n", "\n", "11. $Y_{{22}_c}$$\\qquad$Damage - driving force relation\n", "\n", "12. $Y_{{22}_u}$$\\qquad$Ultimate damage driving force threshold\n", "\n", "13. $b$\t$\\qquad$shear - transverse damage coupling parameter\n", "\n", "14. $A$\t$\\qquad$shear - transverse plasticty coupling parameter (\"equivalent to $a^2$ in Le Dantec\")\n", "\n", "15. $\\sigma_{{ts}_0}$$\\qquad$Yield limit for the onset of plasticity (Equivalent to $R_e$) in the shear/transverse (to fiber) directions\n", "\n", "16. $\\alpha_{ts}$$\\qquad$Exponent\n", "\n", "17. $\\beta_{ts}$\t$\\qquad$Hardening coefficient\n", "\n", "\n", "\n" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Number</th>\n", " <th>umat</th>\n", " <th>save</th>\n", " <th>c</th>\n", " <th>psi_mat</th>\n", " <th>theta_mat</th>\n", " <th>phi_mat</th>\n", " <th>psi_geom</th>\n", " <th>theta_geom</th>\n", " <th>phi_geom</th>\n", " <th>nprops</th>\n", " <th>nstatev</th>\n", " <th>props</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>LLDM0</td>\n", " <td>1</td>\n", " <td>0.5</td>\n", " <td>45</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>90.0</td>\n", " <td>-90.0</td>\n", " <td>17</td>\n", " <td>10</td>\n", " <td>150000</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>LLDM0</td>\n", " <td>1</td>\n", " <td>0.5</td>\n", " <td>-45</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>90.0</td>\n", " <td>-90.0</td>\n", " <td>17</td>\n", " <td>10</td>\n", " <td>150000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Number umat save c psi_mat theta_mat phi_mat psi_geom \\\n", "0 0 LLDM0 1 0.5 45 0 0 0.0 \n", "1 1 LLDM0 1 0.5 -45 0 0 0.0 \n", "\n", " theta_geom phi_geom nprops nstatev props \n", "0 90.0 -90.0 17 10 150000 \n", "1 90.0 -90.0 17 10 150000 " ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "umat_name = 'MIPLN' #This is the 5 character code for the periodic homogenization for multlayered composite\n", "nstatev = 0 #The number of scalar variables required, everything is stored in sub-phases statevs\n", "\n", "nphases = 2 #The number of phases\n", "num_file = 0 #The num of the file that contains the subphases\n", "\n", "psi_rve = 0.\n", "theta_rve = 0.\n", "phi_rve = 0.\n", "\n", "props = np.array([nphases, num_file])\n", "\n", "NPhases_file = dir + '/data/Nlayers0.dat'\n", "NPhases = pd.read_csv(NPhases_file, delimiter=r'\\s+', index_col=False, engine='python')\n", "\n", "NPhases[::]" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAZEAAAEPCAYAAACDTflkAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nHMOaegAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x113e71e10>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "path_data = 'data'\n", "path_results = 'results'\n", "pathfile = 'co_pm45.txt'\n", "outputfile = 'results_PLN.txt'\n", "\n", "sim.solver(umat_name, props, nstatev, psi_rve, theta_rve, phi_rve, path_data, path_results, pathfile, outputfile)\n", "\n", "outputfile_macro = dir + '/' + path_results + '/results_PLN_global-0.txt'\n", "outputfile_micro1 = dir + '/' + path_results + '/results_PLN_global-0-0.txt'\n", "outputfile_micro2 = dir + '/' + path_results + '/results_PLN_global-0-1.txt'\n", "outputfile_micro3 = dir + '/t300'\n", "\n", "fig = plt.figure()\n", "\n", "e11, e22, e33, e12, e13, e23, s11, s22, s33, s12, s13, s23 = np.loadtxt(outputfile_macro, usecols=(8,9,10,11,12,13,14,15,16,17,18,19), unpack=True)\n", "plt.grid(True)\n", "plt.plot(e11,s11, c='black')\n", "\n", "e11, e22, e33, e12, e13, e23, s11, s22, s33, s12, s13, s23 = np.loadtxt(outputfile_micro1, usecols=(8,9,10,11,12,13,14,15,16,17,18,19), unpack=True)\n", "plt.grid(True)\n", "plt.plot(e11,s11, c='red')\n", "\n", "e11, e22, e33, e12, e13, e23, s11, s22, s33, s12, s13, s23 = np.loadtxt(outputfile_micro2, usecols=(8,9,10,11,12,13,14,15,16,17,18,19), unpack=True)\n", "plt.grid(True)\n", "plt.plot(e11,s11, c='blue')\n", "\n", "e11,s11 = np.loadtxt(outputfile_micro3, usecols=(0,1), unpack=True)\n", "plt.grid(True)\n", "plt.plot(e11,s11, c='green')\n", "\n", "plt.xlabel('Strain')\n", "plt.ylabel('Stress (MPa)')\n", "\n", "plt.show()\n" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAZQAAAEPCAYAAABlZDIgAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x113f11550>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAZYAAAEPCAYAAABhkeIdAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1142c6790>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAZAAAAEPCAYAAABsj5JaAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSP4P6rWcIrChndQAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x114459ad0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#pylab.rcParams['figure.figsize'] = (24.0, 5.0) #configure the figure output size\n", "\n", "outputfile_micro1 = dir + '/' + path_results + '/results_PLN_local-0-0.txt'\n", "outputfile_micro2 = dir + '/' + path_results + '/results_PLN_local-0-1.txt'\n", "\n", "fig = plt.figure()\n", "\n", "e11, e22, e33, e12, e13, e23, s11, s22, s33, s12, s13, s23 = np.loadtxt(outputfile_micro1, usecols=(8,9,10,11,12,13,14,15,16,17,18,19), unpack=True)\n", "plt.grid(True)\n", "plt.plot(e11,s11, c='red')\n", "\n", "e11, e22, e33, e12, e13, e23, s11, s22, s33, s12, s13, s23 = np.loadtxt(outputfile_micro2, usecols=(8,9,10,11,12,13,14,15,16,17,18,19), unpack=True)\n", "plt.grid(True)\n", "plt.plot(e11,s11, c='blue')\n", "\n", "plt.xlabel('Strain')\n", "plt.ylabel('Stress (MPa)')\n", "\n", "plt.show()\n", "\n", "e11, e22, e33, e12, e13, e23, s11, s22, s33, s12, s13, s23 = np.loadtxt(outputfile_micro1, usecols=(8,9,10,11,12,13,14,15,16,17,18,19), unpack=True)\n", "plt.grid(True)\n", "plt.plot(e12,s12, c='red')\n", "e11, e22, e33, e12, e13, e23, s11, s22, s33, s12, s13, s23 = np.loadtxt(outputfile_micro2, usecols=(8,9,10,11,12,13,14,15,16,17,18,19), unpack=True)\n", "plt.grid(True)\n", "plt.plot(e12,s12, c='blue')\n", "\n", "plt.xlabel('Strain')\n", "plt.ylabel('Stress (MPa)')\n", "\n", "plt.show()\n", "\n", "\n", "e11, e22, e33, e12, e13, e23, s11, s22, s33, s12, s13, s23 = np.loadtxt(outputfile_micro1, usecols=(8,9,10,11,12,13,14,15,16,17,18,19), unpack=True)\n", "plt.grid(True)\n", "plt.plot(e22,s22, c='red')\n", "e11, e22, e33, e12, e13, e23, s11, s22, s33, s12, s13, s23 = np.loadtxt(outputfile_micro2, usecols=(8,9,10,11,12,13,14,15,16,17,18,19), unpack=True)\n", "plt.grid(True)\n", "plt.plot(e22,s22, c='blue')\n", "plt.xlabel('Strain')\n", "plt.ylabel('Stress (MPa)')\n", "\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
tanmay987/deepLearning
gan_mnist/Intro_to_GANs_Exercises.ipynb
1
25981
{ "cells": [ { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "# Generative Adversarial Network\n", "\n", "In this notebook, we'll be building a generative adversarial network (GAN) trained on the MNIST dataset. From this, we'll be able to generate new handwritten digits!\n", "\n", "GANs were [first reported on](https://arxiv.org/abs/1406.2661) in 2014 from Ian Goodfellow and others in Yoshua Bengio's lab. Since then, GANs have exploded in popularity. Here are a few examples to check out:\n", "\n", "* [Pix2Pix](https://affinelayer.com/pixsrv/) \n", "* [CycleGAN](https://github.com/junyanz/CycleGAN)\n", "* [A whole list](https://github.com/wiseodd/generative-models)\n", "\n", "The idea behind GANs is that you have two networks, a generator $G$ and a discriminator $D$, competing against each other. The generator makes fake data to pass to the discriminator. The discriminator also sees real data and predicts if the data it's received is real or fake. The generator is trained to fool the discriminator, it wants to output data that looks _as close as possible_ to real data. And the discriminator is trained to figure out which data is real and which is fake. What ends up happening is that the generator learns to make data that is indistiguishable from real data to the discriminator.\n", "\n", "![GAN diagram](assets/gan_diagram.png)\n", "\n", "The general structure of a GAN is shown in the diagram above, using MNIST images as data. The latent sample is a random vector the generator uses to contruct it's fake images. As the generator learns through training, it figures out how to map these random vectors to recognizable images that can fool the discriminator.\n", "\n", "The output of the discriminator is a sigmoid function, where 0 indicates a fake image and 1 indicates an real image. If you're interested only in generating new images, you can throw out the discriminator after training. Now, let's see how we build this thing in TensorFlow." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "%matplotlib inline\n", "\n", "import pickle as pkl\n", "import numpy as np\n", "import tensorflow as tf\n", "import matplotlib.pyplot as plt" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Extracting MNIST_data/train-images-idx3-ubyte.gz\n", "Extracting MNIST_data/train-labels-idx1-ubyte.gz\n", "Extracting MNIST_data/t10k-images-idx3-ubyte.gz\n", "Extracting MNIST_data/t10k-labels-idx1-ubyte.gz\n" ] } ], "source": [ "from tensorflow.examples.tutorials.mnist import input_data\n", "mnist = input_data.read_data_sets('MNIST_data')" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Model Inputs\n", "\n", "First we need to create the inputs for our graph. We need two inputs, one for the discriminator and one for the generator. Here we'll call the discriminator input `inputs_real` and the generator input `inputs_z`. We'll assign them the appropriate sizes for each of the networks.\n", "\n", ">**Exercise:** Finish the `model_inputs` function below. Create the placeholders for `inputs_real` and `inputs_z` using the input sizes `real_dim` and `z_dim` respectively." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "def model_inputs(real_dim, z_dim):\n", " inputs_real = tf.placeholder(tf.float32 , [None, real_dim] )\n", " inputs_z = tf.placeholder(tf.float32 , [None, z_dim] )\n", " \n", " return inputs_real, inputs_z" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Generator network\n", "\n", "![GAN Network](assets/gan_network.png)\n", "\n", "Here we'll build the generator network. To make this network a universal function approximator, we'll need at least one hidden layer. We should use a leaky ReLU to allow gradients to flow backwards through the layer unimpeded. A leaky ReLU is like a normal ReLU, except that there is a small non-zero output for negative input values.\n", "\n", "#### Variable Scope\n", "Here we need to use `tf.variable_scope` for two reasons. Firstly, we're going to make sure all the variable names start with `generator`. Similarly, we'll prepend `discriminator` to the discriminator variables. This will help out later when we're training the separate networks.\n", "\n", "We could just use `tf.name_scope` to set the names, but we also want to reuse these networks with different inputs. For the generator, we're going to train it, but also _sample from it_ as we're training and after training. The discriminator will need to share variables between the fake and real input images. So, we can use the `reuse` keyword for `tf.variable_scope` to tell TensorFlow to reuse the variables instead of creating new ones if we build the graph again.\n", "\n", "To use `tf.variable_scope`, you use a `with` statement:\n", "```python\n", "with tf.variable_scope('scope_name', reuse=False):\n", " # code here\n", "```\n", "\n", "Here's more from [the TensorFlow documentation](https://www.tensorflow.org/programmers_guide/variable_scope#the_problem) to get another look at using `tf.variable_scope`.\n", "\n", "#### Leaky ReLU\n", "TensorFlow doesn't provide an operation for leaky ReLUs, so we'll need to make one . For this you can just take the outputs from a linear fully connected layer and pass them to `tf.maximum`. Typically, a parameter `alpha` sets the magnitude of the output for negative values. So, the output for negative input (`x`) values is `alpha*x`, and the output for positive `x` is `x`:\n", "$$\n", "f(x) = max(\\alpha * x, x)\n", "$$\n", "\n", "#### Tanh Output\n", "The generator has been found to perform the best with $tanh$ for the generator output. This means that we'll have to rescale the MNIST images to be between -1 and 1, instead of 0 and 1.\n", "\n", ">**Exercise:** Implement the generator network in the function below. You'll need to return the tanh output. Make sure to wrap your code in a variable scope, with 'generator' as the scope name, and pass the `reuse` keyword argument from the function to `tf.variable_scope`." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "def generator(z, out_dim, n_units=128, reuse=False, alpha=0.01):\n", " ''' Build the generator network.\n", " \n", " Arguments\n", " ---------\n", " z : Input tensor for the generator\n", " out_dim : Shape of the generator output\n", " n_units : Number of units in hidden layer\n", " reuse : Reuse the variables with tf.variable_scope\n", " alpha : leak parameter for leaky ReLU\n", " \n", " Returns\n", " -------\n", " out, logits: \n", " '''\n", " with tf.variable_scope ('generator',reuse=reuse): # finish this\n", " # Hidden layer\n", " h1 = tf.layers.dense(z, n_units, activation=None)\n", " # Leaky ReLU\n", " h1 = tf.maximum(alpha*h1,h1)\n", " \n", " # Logits and tanh output\n", " logits = tf.layers.dense(h1,out_dim,activation=None)\n", " out = tf.tanh(logits)\n", " \n", " return out" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Discriminator\n", "\n", "The discriminator network is almost exactly the same as the generator network, except that we're using a sigmoid output layer.\n", "\n", ">**Exercise:** Implement the discriminator network in the function below. Same as above, you'll need to return both the logits and the sigmoid output. Make sure to wrap your code in a variable scope, with 'discriminator' as the scope name, and pass the `reuse` keyword argument from the function arguments to `tf.variable_scope`." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "def discriminator(x, n_units=128, reuse=False, alpha=0.01):\n", " ''' Build the discriminator network.\n", " \n", " Arguments\n", " ---------\n", " x : Input tensor for the discriminator\n", " n_units: Number of units in hidden layer\n", " reuse : Reuse the variables with tf.variable_scope\n", " alpha : leak parameter for leaky ReLU\n", " \n", " Returns\n", " -------\n", " out, logits: \n", " '''\n", " with tf.variable_scope ('discriminator',reuse=reuse):# finish this\n", " # Hidden layer\n", " h1 = tf.layers.dense(x, n_units, activation=None)\n", " # Leaky ReLU\n", " h1 = tf.maximum(alpha * h1, h1)\n", " \n", " logits = tf.layers.dense(h1,1, activation=None)\n", " out = tf.sigmoid(logits)\n", " \n", " return out, logits" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Hyperparameters" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "# Size of input image to discriminator\n", "input_size = 784 # 28x28 MNIST images flattened\n", "# Size of latent vector to generator\n", "z_size = 100\n", "# Sizes of hidden layers in generator and discriminator\n", "g_hidden_size = 128\n", "d_hidden_size = 128\n", "# Leak factor for leaky ReLU\n", "alpha = 0.01\n", "# Label smoothing \n", "smooth = 0.1" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Build network\n", "\n", "Now we're building the network from the functions defined above.\n", "\n", "First is to get our inputs, `input_real, input_z` from `model_inputs` using the sizes of the input and z.\n", "\n", "Then, we'll create the generator, `generator(input_z, input_size)`. This builds the generator with the appropriate input and output sizes.\n", "\n", "Then the discriminators. We'll build two of them, one for real data and one for fake data. Since we want the weights to be the same for both real and fake data, we need to reuse the variables. For the fake data, we're getting it from the generator as `g_model`. So the real data discriminator is `discriminator(input_real)` while the fake discriminator is `discriminator(g_model, reuse=True)`.\n", "\n", ">**Exercise:** Build the network from the functions you defined earlier." ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false, "deletable": true, "editable": true, "scrolled": false }, "outputs": [], "source": [ "tf.reset_default_graph()\n", "# Create our input placeholders\n", "input_real, input_z = model_inputs(input_size, z_size)\n", "\n", "# Build the model\n", "g_model = generator(input_z, input_size, n_units=g_hidden_size, alpha=alpha)\n", "# g_model is the generator output\n", "\n", "d_model_real, d_logits_real = discriminator(input_real, n_units=d_hidden_size, alpha=alpha)\n", "d_model_fake, d_logits_fake = discriminator(g_model, reuse=True, n_units=d_hidden_size, alpha=alpha)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Discriminator and Generator Losses\n", "\n", "Now we need to calculate the losses, which is a little tricky. For the discriminator, the total loss is the sum of the losses for real and fake images, `d_loss = d_loss_real + d_loss_fake`. The losses will by sigmoid cross-entropies, which we can get with `tf.nn.sigmoid_cross_entropy_with_logits`. We'll also wrap that in `tf.reduce_mean` to get the mean for all the images in the batch. So the losses will look something like \n", "\n", "```python\n", "tf.reduce_mean(tf.nn.sigmoid_cross_entropy_with_logits(logits=logits, labels=labels))\n", "```\n", "\n", "For the real image logits, we'll use `d_logits_real` which we got from the discriminator in the cell above. For the labels, we want them to be all ones, since these are all real images. To help the discriminator generalize better, the labels are reduced a bit from 1.0 to 0.9, for example, using the parameter `smooth`. This is known as label smoothing, typically used with classifiers to improve performance. In TensorFlow, it looks something like `labels = tf.ones_like(tensor) * (1 - smooth)`\n", "\n", "The discriminator loss for the fake data is similar. The logits are `d_logits_fake`, which we got from passing the generator output to the discriminator. These fake logits are used with labels of all zeros. Remember that we want the discriminator to output 1 for real images and 0 for fake images, so we need to set up the losses to reflect that.\n", "\n", "Finally, the generator losses are using `d_logits_fake`, the fake image logits. But, now the labels are all ones. The generator is trying to fool the discriminator, so it wants to discriminator to output ones for fake images.\n", "\n", ">**Exercise:** Calculate the losses for the discriminator and the generator. There are two discriminator losses, one for real images and one for fake images. For the real image loss, use the real logits and (smoothed) labels of ones. For the fake image loss, use the fake logits with labels of all zeros. The total discriminator loss is the sum of those two losses. Finally, the generator loss again uses the fake logits from the discriminator, but this time the labels are all ones because the generator wants to fool the discriminator." ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "# Calculate losses\n", "d_loss_real = tf.reduce_mean(tf.nn.sigmoid_cross_entropy_with_logits(logits=d_logits_real, labels=tf.ones_like(d_logits_real) * (1 - smooth)))\n", "\n", "d_loss_fake = tf.reduce_mean(tf.nn.sigmoid_cross_entropy_with_logits(logits = d_logits_fake, labels=tf.zeros_like(d_logits_fake) ))\n", "\n", "d_loss = d_loss_real+d_model_fake\n", "g_loss = tf.reduce_mean(tf.nn.sigmoid_cross_entropy_with_logits(logits=d_logits_fake, labels=tf.ones_like(d_logits_fake)))" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Optimizers\n", "\n", "We want to update the generator and discriminator variables separately. So we need to get the variables for each part and build optimizers for the two parts. To get all the trainable variables, we use `tf.trainable_variables()`. This creates a list of all the variables we've defined in our graph.\n", "\n", "For the generator optimizer, we only want to generator variables. Our past selves were nice and used a variable scope to start all of our generator variable names with `generator`. So, we just need to iterate through the list from `tf.trainable_variables()` and keep variables that start with `generator`. Each variable object has an attribute `name` which holds the name of the variable as a string (`var.name == 'weights_0'` for instance). \n", "\n", "We can do something similar with the discriminator. All the variables in the discriminator start with `discriminator`.\n", "\n", "Then, in the optimizer we pass the variable lists to the `var_list` keyword argument of the `minimize` method. This tells the optimizer to only update the listed variables. Something like `tf.train.AdamOptimizer().minimize(loss, var_list=var_list)` will only train the variables in `var_list`.\n", "\n", ">**Exercise: ** Below, implement the optimizers for the generator and discriminator. First you'll need to get a list of trainable variables, then split that list into two lists, one for the generator variables and another for the discriminator variables. Finally, using `AdamOptimizer`, create an optimizer for each network that update the network variables separately." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": true, "editable": true, "scrolled": true }, "outputs": [], "source": [ "# Optimizers\n", "learning_rate = 0.002\n", "\n", "# Get the trainable_variables, split into G and D parts\n", "t_vars = tf.trainable_variables()\n", "g_vars = [var for var in t_vars if var.name.startswith('generator')]\n", "d_vars = [var for var in t_vars if var.name.startswith('discriminator')]\n", "\n", "d_train_opt = \n", "g_train_opt = " ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Training" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": true, "editable": true, "scrolled": true }, "outputs": [], "source": [ "batch_size = 100\n", "epochs = 100\n", "samples = []\n", "losses = []\n", "saver = tf.train.Saver(var_list = g_vars)\n", "with tf.Session() as sess:\n", " sess.run(tf.global_variables_initializer())\n", " for e in range(epochs):\n", " for ii in range(mnist.train.num_examples//batch_size):\n", " batch = mnist.train.next_batch(batch_size)\n", " \n", " # Get images, reshape and rescale to pass to D\n", " batch_images = batch[0].reshape((batch_size, 784))\n", " batch_images = batch_images*2 - 1\n", " \n", " # Sample random noise for G\n", " batch_z = np.random.uniform(-1, 1, size=(batch_size, z_size))\n", " \n", " # Run optimizers\n", " _ = sess.run(d_train_opt, feed_dict={input_real: batch_images, input_z: batch_z})\n", " _ = sess.run(g_train_opt, feed_dict={input_z: batch_z})\n", " \n", " # At the end of each epoch, get the losses and print them out\n", " train_loss_d = sess.run(d_loss, {input_z: batch_z, input_real: batch_images})\n", " train_loss_g = g_loss.eval({input_z: batch_z})\n", " \n", " print(\"Epoch {}/{}...\".format(e+1, epochs),\n", " \"Discriminator Loss: {:.4f}...\".format(train_loss_d),\n", " \"Generator Loss: {:.4f}\".format(train_loss_g)) \n", " # Save losses to view after training\n", " losses.append((train_loss_d, train_loss_g))\n", " \n", " # Sample from generator as we're training for viewing afterwards\n", " sample_z = np.random.uniform(-1, 1, size=(16, z_size))\n", " gen_samples = sess.run(\n", " generator(input_z, input_size, reuse=True),\n", " feed_dict={input_z: sample_z})\n", " samples.append(gen_samples)\n", " saver.save(sess, './checkpoints/generator.ckpt')\n", "\n", "# Save training generator samples\n", "with open('train_samples.pkl', 'wb') as f:\n", " pkl.dump(samples, f)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Training loss\n", "\n", "Here we'll check out the training losses for the generator and discriminator." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "%matplotlib inline\n", "\n", "import matplotlib.pyplot as plt" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "fig, ax = plt.subplots()\n", "losses = np.array(losses)\n", "plt.plot(losses.T[0], label='Discriminator')\n", "plt.plot(losses.T[1], label='Generator')\n", "plt.title(\"Training Losses\")\n", "plt.legend()" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Generator samples from training\n", "\n", "Here we can view samples of images from the generator. First we'll look at images taken while training." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "def view_samples(epoch, samples):\n", " fig, axes = plt.subplots(figsize=(7,7), nrows=4, ncols=4, sharey=True, sharex=True)\n", " for ax, img in zip(axes.flatten(), samples[epoch]):\n", " ax.xaxis.set_visible(False)\n", " ax.yaxis.set_visible(False)\n", " im = ax.imshow(img.reshape((28,28)), cmap='Greys_r')\n", " \n", " return fig, axes" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "# Load samples from generator taken while training\n", "with open('train_samples.pkl', 'rb') as f:\n", " samples = pkl.load(f)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "These are samples from the final training epoch. You can see the generator is able to reproduce numbers like 5, 7, 3, 0, 9. Since this is just a sample, it isn't representative of the full range of images this generator can make." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "_ = view_samples(-1, samples)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "Below I'm showing the generated images as the network was training, every 10 epochs. With bonus optical illusion!" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "rows, cols = 10, 6\n", "fig, axes = plt.subplots(figsize=(7,12), nrows=rows, ncols=cols, sharex=True, sharey=True)\n", "\n", "for sample, ax_row in zip(samples[::int(len(samples)/rows)], axes):\n", " for img, ax in zip(sample[::int(len(sample)/cols)], ax_row):\n", " ax.imshow(img.reshape((28,28)), cmap='Greys_r')\n", " ax.xaxis.set_visible(False)\n", " ax.yaxis.set_visible(False)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "It starts out as all noise. Then it learns to make only the center white and the rest black. You can start to see some number like structures appear out of the noise. Looks like 1, 9, and 8 show up first. Then, it learns 5 and 3." ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Sampling from the generator\n", "\n", "We can also get completely new images from the generator by using the checkpoint we saved after training. We just need to pass in a new latent vector $z$ and we'll get new samples!" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "saver = tf.train.Saver(var_list=g_vars)\n", "with tf.Session() as sess:\n", " saver.restore(sess, tf.train.latest_checkpoint('checkpoints'))\n", " sample_z = np.random.uniform(-1, 1, size=(16, z_size))\n", " gen_samples = sess.run(\n", " generator(input_z, input_size, reuse=True),\n", " feed_dict={input_z: sample_z})\n", "view_samples(0, [gen_samples])" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" }, "widgets": { "state": {}, "version": "1.1.2" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
maxentile/advanced-ml-project
experiments/Random-autoencoder-ensembles.ipynb
1
493196
{ "metadata": { "name": "" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "import cPickle,gzip,os,sys,time\n", "dataset = 'mnist.pkl.gz'\n", "f = gzip.open(dataset, 'rb')\n", "train_set, valid_set, test_set = cPickle.load(f)\n", "f.close()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "train_set[0].shape" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 2, "text": [ "(50000, 784)" ] } ], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "import numpy as np\n", "import numpy.random as npr\n", "import pylab as pl\n", "pl.rcParams['font.family'] = 'serif'\n", "%matplotlib inline\n", "from sklearn.decomposition import PCA\n", "from sklearn import neighbors" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "def one_nn_baseline(X,Y):\n", " one_nn = neighbors.kneighbors_graph(X,2)\n", " inds = np.zeros(len(X),dtype=int)\n", " for i in range(len(X)):\n", " inds[i] = [ind for ind in one_nn[i].indices if ind != i][0]\n", " preds = Y[inds]\n", " return 1.0*sum(preds==Y) / len(Y)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "one_nn_baseline(train_set[0][:5000],train_set[1][:5000])" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 5, "text": [ "0.9462" ] } ], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "sigmoid = lambda x : 1.0 / (1.0 + np.exp(-x))\n", "class MLAutoencoder():\n", " def __init__(self,layer_dims=[32,16,8,4,2,4,8,16,32]):\n", " self.layer_dims = layer_dims\n", " self.W = []\n", " for i in range(1,len(layer_dims)):\n", " self.W.append(npr.randn(layer_dims[i-1],layer_dims[i]))\n", " self.num_params = sum([np.prod(w.shape) for w in self.W])\n", " self.bottleneck = np.argmin(np.array(layer_dims))\n", " \n", " def mats_to_vec(self,W):\n", " w_vecs = []\n", " for w in W:\n", " w_vecs.append(np.reshape(w,np.prod(w.shape)))\n", " return np.hstack(w_vecs)\n", " \n", " def vec_to_mats(self,w_vecs):\n", " ind = 0\n", " W = []\n", " for i in range(len(self.W)):\n", " size = np.prod(self.W[i].shape)\n", " W.append(np.reshape(w_vecs[ind:ind+size],self.W[i].shape))\n", " ind += size\n", " return W\n", " \n", " def predict(self,X):\n", " def predict_one(x):\n", " L = x\n", " for w in self.W:\n", " L = sigmoid(L.dot(w))\n", " return L\n", " \n", " if len(X.shape) > 1:\n", " y = np.zeros(X.shape)\n", " for i,x in enumerate(X):\n", " y[i] = predict_one(x)\n", " else:\n", " y = predict_one(X)\n", " return y\n", "\n", " def transform(self,X):\n", " def transform_one(x):\n", " L = x\n", " for i in range(self.bottleneck):\n", " L = sigmoid(L.dot(self.W[i]))\n", " return L\n", " \n", " if len(X.shape) > 1:\n", " y = np.zeros((len(X),self.layer_dims[self.bottleneck]))\n", " for i,x in enumerate(X):\n", " y[i] = transform_one(x)\n", " else:\n", " y = transform_one(X)\n", " return y\n", " \n", " def loss(self,y,y_pred):\n", " return np.sum(abs(y-y_pred))\n", " \n", " def score(self,X):\n", " X_pred = self.predict(X)\n", " assert(X_pred.shape == X.shape)\n", " \n", " return sum([self.loss(pred,truth) for (pred,truth) in zip(X_pred, X)]) \n", " \n", " def gradient(self,func,x0,h=0.0001):\n", " x0 = np.array(x0)#,dtype=float)\n", " y = func(x0)\n", " deriv = np.zeros(len(x0))\n", " for i in range(len(x0)):\n", " x = np.array(x0)\n", " x[i] += h\n", " deriv[i] = (func(x) - y)/h\n", " return deriv\n", " \n", " def vec_score(self,w,X):\n", " self.W = self.vec_to_mats(w)\n", " return self.score(X)\n", "\n", " def train(self,X_,batch_size=20,epochs=10,learning_rate=0.1,cooling=False):\n", " def report(counter,epoch):\n", " status = \"Epoch {1} loss: {0:.3f}\".format(self.score(X_),epoch)\n", " print(status)\n", " X_r = self.transform(X_)\n", " pl.scatter(X_r[:,0],X_r[:,1],c=Y,linewidth=0)\n", " pl.xlim((0,1))\n", " pl.ylim((0,1))\n", " pl.title(status)\n", " pl.savefig('ae/{0}.jpg'.format(counter))\n", " pl.close()\n", " \n", " if X_.shape[1] == 3:\n", " X_r = self.predict(X_)\n", " fig = pl.figure()\n", " ax = Axes3D(fig)\n", " \n", " #pl.xlim((0,1))\n", " #pl.ylim((0,1))\n", " #ax.zlim((0,1))\n", " ax.scatter(X_r[:,0],X_r[:,1],X_r[:,2],c=Y)\n", " pl.savefig('ae/reconstruction-{0}.jpg'.format(counter))\n", " pl.close()\n", " \n", " n = len(X_) /batch_size\n", " w = self.mats_to_vec(self.W)\n", " \n", " X = X_.copy()\n", " \n", " counter = 0\n", " report(counter,0)\n", " counter += 1\n", " \n", " if cooling:\n", " start_cooling = 100\n", " delta = learning_rate / (epochs - start_cooling)\n", " \n", " for t in range(epochs):\n", " npr.shuffle(X)\n", " \n", " if cooling and t > start_cooling:\n", " #learning_rate -= delta\n", " learning_rate *= 0.95\n", " \n", " for i in range(n):\n", " batch_X = X[i*batch_size:(i+1)*batch_size]\n", " loss_func = lambda w : self.vec_score(w,batch_X)\n", " w -= learning_rate * self.gradient(loss_func,w)\n", " report(counter,t+1)\n", " counter +=1" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 10 }, { "cell_type": "code", "collapsed": false, "input": [ "input_dim = 784\n", "forward_layers = [input_dim/(2**n) for n in range(10) if input_dim/(2**n) > 1]\n", "if forward_layers[-1] != 2:\n", " forward_layers.append(2)\n", "forward_layers" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 38, "text": [ "[784, 392, 196, 98, 49, 24, 12, 6, 3, 2]" ] } ], "prompt_number": 38 }, { "cell_type": "code", "collapsed": false, "input": [ "layers = forward_layers + forward_layers[::-1][1:]\n", "layers" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 39, "text": [ "[784, 392, 196, 98, 49, 24, 12, 6, 3, 2, 3, 6, 12, 24, 49, 98, 196, 392, 784]" ] } ], "prompt_number": 39 }, { "cell_type": "code", "collapsed": false, "input": [ "ae = MLAutoencoder(layers)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 40 }, { "cell_type": "code", "collapsed": false, "input": [ "X_ = ae.transform(train_set[0][:5000])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 41 }, { "cell_type": "code", "collapsed": false, "input": [ "pl.scatter(X_[:,0],X_[:,0],c=train_set[1][:len(X_)])" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 42, "text": [ "<matplotlib.collections.PathCollection at 0x11aa47b90>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYAAAAD/CAYAAAD4xAEfAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nWZ5CDkSndrUAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x11aa4ae50>" ] } ], "prompt_number": 42 }, { "cell_type": "code", "collapsed": false, "input": [ "one_nn_baseline(X_,train_set[1][:len(X_)])" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 43, "text": [ "0.1166" ] } ], "prompt_number": 43 }, { "cell_type": "code", "collapsed": false, "input": [ "ae_2 = MLAutoencoder([input_dim,2,input_dim])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 44 }, { "cell_type": "code", "collapsed": false, "input": [ "X_2 = ae_2.transform(train_set[0][:5000])\n", "pl.scatter(X_2[:,0],X_2[:,0],c=train_set[1][:len(X_2)])\n", "print(one_nn_baseline(X_2,train_set[1][:len(X_2)]))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0.1702\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX4AAAD/CAYAAAD2Qb01AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nCCFCjBS/EEKEmP8HUr4sb24WszEAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x11aa63cd0>" ] } ], "prompt_number": 46 }, { "cell_type": "code", "collapsed": false, "input": [ "pca = PCA(64)\n", "X_pca = pca.fit_transform(train_set[0][:5000])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "pl.plot(pca.explained_variance_ratio_)\n", "print(sum(pca.explained_variance_ratio_))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0.86632352625\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXsAAAD/CAYAAAAQaHZxAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAH8JJREFUeJzt3X9wVOW9x/H3QrICkhCi2TUSCJoEHKTyGxODTgyOeBsc\nr5WOt/W22vFXAUenTGyoITR3rlbQUcBf3N7pcFur7dimppWbcNEAASpRwVYkIpggBiliUGM2EEII\nOfePx90kBfKLLOec3c9r5pnNSc7Jfjfi9zzne57nOR7LsixERCSiDbI7ABERCT8lexGRKKBkLyIS\nBZTsRUSigJK9iEgUULIXEYkCMT3tUFFRQWlpKT6fD4/Hw9KlS0/b55VXXqGwsJBVq1aRl5cX+v5L\nL73Ee++9x+DBg0lLS+O+++4b2OhFRKRXuk32zc3NzJ8/n927dxMbG8u8efPYuHEjubm5oX0++eQT\n/H4/o0ePxuPxhL5/8OBBnnrqKf7+978DMHPmTHJzc0lPTw/TRxERkbPptoxTVVVFamoqsbGxAGRn\nZ1NWVtZln7Fjx5KTk3PasevXr2fatGmh7aysLNatWzcAIYuISF91m+zr6+uJi4sLbcfHx1NfX9+r\nX3zkyJF+HysiIgOr22Tv9/tpamoKbTc2NuL3+3v1i30+X7+PFRGRgdVtzT4zM5O6ujpaW1vxer1s\n27aNhQsX0tDQQExMTJeeO0DnZXbmzJnDs88+G9p+6623eOihh057j851fhER6b2+LG3Wbc9+2LBh\nrF69mgcffJCioiImTZrE9ddfz7Jly3jhhRdC+z366KPU1dXxhz/8gddffx2AUaNGkZ+fz09+8hPy\n8/O59957SUtLO2vAbm0///nPbY9B8dsfh+J3X3Nz7JbV9/Urexx6ecMNN3DDDTd0+d7y5cu7bC9Z\nsoQlS5acduwdd9zBHXfc0eegRERkYDliUlU/TlIiItIHjkj2gYDdEfTfmYaduonit5fit4+bY+8P\nj9Wf4s9ABuDx8NFHFhkZdkYhIuIuHo+nT7V7R/TsP//c7ghERCKbI5K95lqJiISXkr2ISBRQshcR\niQKOSPaq2YuIhJcjkr169iIi4aVkLyISBZTsRUSigCOSvWr2IiLh5Yhk39QEra12RyEiErkckewv\nvhi++MLuKEREIpcjkr3Pp7q9iEg4OSbZq24vIhI+jkj2fr969iIi4eSIZK8yjohIeDkm2auMIyIS\nPo5J9urZi4iEjyOSvWr2IiLh5Yhkr569iEh4OSbZq2YvIhI+jnjgeHOzRUICtLSAx2NnNCIi7uDK\nB44PHQoXXACBgN2RiIhEJkcke1DdXkQknByV7FW3FxEJD8ckew2/FBEJH8cke5VxRETCx1HJXmUc\nEZHwcEyyVxlHRCR8HJPsVcYREQkfJXsRkSjgqGSvmr2ISHg4JtmrZi8iEj6OWBvHsiza282SCceO\ngddrZ0QiIs7nyrVxAAYNgosvhi++sDsSEZHIE9PTDhUVFZSWluLz+fB4PCxdurTLz1taWsjPzycl\nJYWamhoWL15MRkYGAIsWLSI2NhaAtrY2nnrqqW7fK1i3v/TS/n4cERE5k26TfXNzM/Pnz2f37t3E\nxsYyb948Nm7cSG5ubmiflStXMnbsWPLz86murubuu+9my5YtvP3222zcuJH33nsPgMmTJ/POO+8w\nc+bMs76f6vYiIuHRbRmnqqqK1NTUUO88OzubsrKyLvuUl5eTlZUFwMSJE9m5cydHjx7loosu4ujR\no5w6dYq2tjaOHj3KxRdf3G0wGn4pIhIe3fbs6+vriYuLC23Hx8dT/0/Z+Gz7pKenc9999/Hd736X\nmJgY7rnnHi6//PJug9HwSxGR8Og22fv9fpqamkLbjY2N+P3+Lvv4fD4CnZ46EggE8Pl8vPbaa2za\ntIl169YBMG/ePF555RVuv/32096nuLgYgPffh0OHcoCc/n0aEZEIVVlZSWVlZb+P7zbZZ2ZmUldX\nR2trK16vl23btrFw4UIaGhqIiYkhLi6OvLw8qqqqmDVrFrt27WLy5MkMHz6cgwcPcskll4R+V3Jy\nMgcOHDjj+wST/f/8D2ze3O/PIiISsXJycsjJyQlt/8d//Eefju9xnH1FRQUlJSUkJSXh9XopKiqi\noKCAxMRECgoKQqNxkpOTqa2tpbCwkPT0dJqbm7n//vtJTU1l0KBB1NbW8stf/rJLyQe6jhUtK4Pn\nn4fy8j59BhGRqNPXcfaOmVQFsH07/PjH8O67dkYkIuJ8rp1UBRp6KSISLo7q2R8/DgkJ0NICHo+d\nUYmIOJure/ZDh5r1cToN7hERkQHgqGQPppSjsfYiIgPLccles2hFRAaekr2ISBRwZLJXGUdEZGA5\nLtlr+KWIyMBzXLJXGUdEZOA5LtlfcgkcOmR3FCIikcVxyX78eNizx+4oREQii6Nm0AKcOGFm0X79\ntZlgJSIip3P1DFowCX7sWPjoI7sjERGJHI5L9gBXXgkffGB3FCIikUPJXkQkCijZi4hEASV7EZEo\n4LjROACtrTBiBDQ0wJAhNgUmIuJgrh+NA+D1wuWXw969dkciIhIZHJnsQaUcEZGB5NhkP2GCkr2I\nyEBxbLJXz15EZOAo2YuIRAFHjsYBOHkS4uPhq6/Mg8hFRKRDRIzGAYiNhbQ0rYApIjIQHJvsQaUc\nEZGBomQvIhIFHJ/sd++2OwoREfdzfLJXz15E5Nw5djQOQFsbxMXBl1/CsGHnOTAREQeLmNE4ADEx\nkJGhETkiIufK0ckeVMoRERkISvYiIlFAyV5EJAoo2YuIRAFHj8YBOHXKjMg5cgQuvPA8BiYi4mB9\nHY0T09MOFRUVlJaW4vP58Hg8LF26tMvPW1payM/PJyUlhZqaGhYvXkxGRgYAb731FmVlZQwdOpTK\nykrWrFlDSkpKnz7Q4MEwbhx8+CFMn96nQ0VE5Bvd9uybm5uZNGkSu3fvJjY2lnnz5rFgwQJyc3ND\n+yxbtoyYmBjy8/Oprq5mwYIFbNmyhUAgwK233sqGDRsA2L9/P36/n2H/NGC+N2enO+6AG2+EO+88\nl48qIhI5BnScfVVVFampqcTGxgKQnZ1NWVlZl33Ky8vJysoCYOLEiezcuZOmpibKy8tJSEhgxYoV\nPProo2zfvv20RN9bM2fCli39OlREROihjFNfX09cXFxoOz4+nvr6+l7tU1dXR1VVFS+//DJDhgwh\nNzeXpKQkrr/++j4HOXcuPP44tLfDIMffUhYRcZ5uU6ff76epqSm03djYiN/v77KPz+cjEAiEtgOB\nAH6/nxEjRvCtb32LIUOGAJCZmcmWfnbP09Jg5Eh4991+HS4iEvW67dlnZmZSV1dHa2srXq+Xbdu2\nsXDhQhoaGoiJiSEuLo68vDyqqqqYNWsWu3btYvLkyQwfPpycnBxWrlwZ+l0HDhxg7ty5Z3yf4uLi\n0Nc5OTnk5OScts/cufC//wszZvTvg4qIuFllZSWVlZX9Pr7HoZcVFRWUlJSQlJSE1+ulqKiIgoIC\nEhMTKSgoCI3GSU5Opra2lsLCQtLT0wFYvXo1e/fuZcSIETQ3N/Pkk0+eHkAvbzJs3gyLFql3LyIC\nfb9B6/hx9kEnT4LfD9XVcOml5yEwEREHi6hVLzuLjYU5c6C83O5IRETcxzXJHjrq9iIi0jeuKeOA\neYjJ5ZfD55/DN4N8RESiUsSWcQAuugiuugrO4Ya0iEhUclWyB5VyRET6w7XJ3t7ik4iIu7gu2U+Y\nAB6P1rgXEekL1yV7j0elHBGRvnJdsgclexGRvnLV0MuglhYzm3bfPrj44jAFJiLiYBE99DJoyBCY\nPVu9exGR3nJlsgf4znegtNTuKERE3MGVZRyAr7+GMWPg0CEYPjwMgYmIOFhUlHEAEhLgmmtg3Tq7\nIxERcT7XJnswpZw//cnuKEREnM+1ZRyA+noYNw4OH9bCaCISXaKmjAPg88GkSVBRYXckIiLO5upk\nD6aU8+qrdkchIuJsri7jABw4AFOnmlJOTLePTxcRiRxRVcYBM/zysstgyxa7IxERcS7XJ3tQKUdE\npCeuL+MAfPQRXH89fPopDIqI05eISPeirowDZvjlyJHw9tt2RyIi4kwRkexBpRwRke5EVLL/05+g\nvd3uSEREnCdikv2kSXDJJbB6td2RiIg4T0TcoA3auxeys03tPi1tQH6liIgjReUN2qDx46GwEH70\nI5VzREQ6i6hkD/Dgg2BZ8OyzdkciIuIcEVXGCaqthawsePNNMyxTRCTSRHUZJyg9HX7+c1POOXXK\n7mhEROwXkckeYMEC8HphxQq7IxERsV9ElnGCqqvh2982K2OKiEQSlXE6ufJKaGoyT7QSEYlmEZ3s\nPR6YNg127LA7EhERe0V0sgeYPl3JXkQk4pP9jBlK9iIiPd6graiooLS0FJ/Ph8fjYenSpV1+3tLS\nQn5+PikpKdTU1LB48WIyMjJCP6+vr2fKlCk88sgjLFy48PQAwniDFqCuDjIz4dAhU9YREYkEfc2d\n3T61tbm5mfnz57N7925iY2OZN28eGzduJDc3N7TPypUrGTt2LPn5+VRXV3P33Xez5ZtnBLa3t7Nk\nyRJmzJjRz49z7saMgbY2k+xHjbItDBERW3VbxqmqqiI1NZXY2FgAsrOzKSsr67JPeXk5WVlZAEyc\nOJGdO3dy9OhRAJ544gnuueceRo4cicembrXHo7q9iEi3yb6+vp64uLjQdnx8PPX/NI7xbPts3LiR\nYcOGMXPmTCzLCmuppiczZsD27ba9vYiI7bpN9n6/n6amptB2Y2Mjfr+/yz4+n49AIBDaDgQCJCUl\nsXbtWo4fP87y5cuprq7mjTfe4Ne//vXARt9L6tmLSLTrtmafmZlJXV0dra2teL1etm3bxsKFC2lo\naCAmJoa4uDjy8vKoqqpi1qxZ7Nq1i8mTJxMXF8eKTusU7Nmzh+nTp3PXXXed8X2Ki4tDX+fk5JCT\nkzMQny0kmOwtSzdpRcSdKisrqays7PfxvRqNU1JSQlJSEl6vl6KiIgoKCkhMTKSgoCA0Gic5OZna\n2loKCwtJT08PHb9mzRqef/55UlJSmD9/PjfddFPXAMI8Gido1CizCubYsWF/KxGRsOtr7ozotXE6\nu+UW+Pd/h+9+N+xvJSISdlob5yxUtxeRaKZkLyISBaKmjHPkCGRkwFdfwaCoOcWJSKRSGecskpIg\nIcE8slBEJNpETbIHlXJEJHpFVbLXCpgiEq2iKtlPn65lE0QkOkXNDVqAhgYYPRoaG2Hw4PPyliIi\nYaEbtN0YORKSk2HPHrsjERE5v6Iq2YPq9iISnaIu2c+cCZs22R2FiMj5FVU1ezCTq8aPhw8+MCUd\nERE3Us2+B0lJZkG0lSvtjkRE5PyJup49mIeQT50K+/aZWbUiIm6jnn0vpKZCXh6sXm13JCIi50dU\n9uwBqqvhhhtg/34YOvS8v72IyDlRz76XJk40wzB/8xu7IxERCb+o7dmDeUzhD38Ie/dCTLdP4xUR\ncRb17PsgOxsuvRRKSuyOREQkvKI62QMsXgzLloG91zciIuEV9cn+29+GU6fMuHslfBGJVFGf7D0e\neOUV+N3vzOicjz+2OyIRkYEX9ckeYMIEqKqCm24ya+esXGl6+yIikSKqR+OcyUcfwb33wsmTUF6u\nGbYi4kwajXOOxo0zq2KOHQvPPmt3NCIiA0M9+7P46COYNcusnxMXZ3c0IiJdqWc/QMaNg9mz4b/+\ny+5IRETOnXr23di1C2680YzQ0fo5IuIk6tkPoG99CzIz4Ve/sjsSEZFzo559D3bsgFtvhdpauOAC\nu6MRETHUsx9g06ebFTJffNHuSERE+k89+1548034wQ/MCB2tjikiTqCefRhkZ5unW/3+93ZHIiLS\nP+rZ99KGDXDffVBRAZddZnc0IhLt1LMPk9xcWLjQrJ3z4otaIVNE3EU9+z7auRPuuAOuvNI8sDwx\n0e6IRCQaqWcfZpMmwfbtkJxsvt640e6IRER61quefUVFBaWlpfh8PjweD0uXLu3y85aWFvLz80lJ\nSaGmpobFixeTkZHB9u3bWbVqFVOmTGHv3r3MnDmTe+65p2sALuvZd7Z+PfzoR/DjH0NhIQwebHdE\nIhIt+po7e0z2zc3NTJo0id27dxMbG8u8efNYsGABubm5oX2WLVtGTEwM+fn5VFdXs2DBArZs2cLa\ntWtJTk5m+vTptLW14fP5qK2tJbFT7cPNyR7gs8/g3/4NhgyBl16CpCS7IxKRaDDgZZyqqipSU1OJ\njY0FIDs7m7Kysi77lJeXk5WVBcDEiRPZuXMnR48e5eabb2b69OkAWJZFTExM6PdEiuRkM1Jn2jSY\nOtWMyRcRcZoek319fT1xndb4jY+Pp76+vs/7PPfccxQWFnbZL1LExMAvfmFWyPzOd0wPX0TESXqc\nD+r3+2lqagptNzY24vf7u+zj8/kIBAKh7UAggM/nC23/7ne/4/jx4zzyyCNnfI/i4uLQ1zk5OeTk\n5PQ2fkfJyzM3bHNyICsL0tLsjkhEIkVlZSWVlZX9Pr7XNfsPPvgAr9fLvHnzWLhwIZMnTyYmJoa4\nuDiWL1/OoEGDePjhh9m1axcPPPAAmzdvBuBXv/oVx44d46GHHmLXrl0MGTKEjIyMjgBcXrM/k5Ur\noaQENm/WTVsRCY8Bv0ELZjROSUkJSUlJeL1eioqKKCgoIDExkYKCgtBonOTkZGprayksLCQ9PZ2/\n/OUv3HnnnUydOhXLsvjyyy957rnnuO666/odsBu0t5sHn/zLv8BPf2p3NCISicKS7MMpEpM9QF2d\nWTFzwwa46iq7oxGRSKNJVQ6RmgpPPmlWyzxxwu5oRCTaqWcfRpZlHnwyYYIZrSMiMlD6mju1OnsY\neTzw3/9tllU4ccIMy8zM1E1bETn/VMYJM58PtmyBCy+E+fNh1CizVPLatbBnDwQCWkFTRMJPZZzz\nrLYW/vIXWLfO3MT97DOT7JOTzTr5ublw440wZQoM0qlYRM5Co3FcqKkJDh0yjz3csAFefx3q6+GG\nG+D+++H66+2OUEScRsk+Qhw8aHr/jzxiXr9ZYkhEBFCyjzilpfDgg/D223DppXZHIyJOoXH2EebW\nW816+f/6r3D8uN3RiIhbqWfvApYF3/++uWH70ktmSKeIRDf17COQxwNr1pgbuMuW2R2NiLiRevYu\n8o9/wNVXw5w5ZqLWhAnmweeXXKLevki00Q3aCLd/P5SXwwcfdLT2dnMSmDXLtJkzYehQuyMVkXBS\nso9Chw/DW2/BX/9q2q5dpuc/Z45ZZnn6dE3QEok0SvZCczNUVcH//Z8Zo//55ybx33qrGdWjtXlE\n3E/JXk5z4IBJ+i++aGbm/vSn8MMfwgUX2B2ZiPSXkr2clWXB1q1mueXqali0CO69FyLwGfAiEU/J\nXnrlb3+Dxx83pZ5Zs+Dmm2HuXBgzxu7IRKQ3lOylTwIBWL/eLLlcXg4pKTB1KowebRL/6NHmqVtp\naRCjpx+IOIaSvfRbW5tZg+fDD02d/9NPzesnn5ilmK+8EiZP7mhTpsCwYXZHLRKdlOwlLJqazJDO\n994z7W9/g9274YorzBj/q6+G8eNN73/wYNMGDYKxY3VPQCQclOzlvGlpgb//3VwNvP027NtnJnid\nOmVe29rM1UFWFtxyi7kvMHq03VGLRAYle3GUpibzMJbXXoOyMpPsZ8+Ga6+F7Gy4+GK7IxRxJyV7\ncay2NjPTd/NmMwS0qsqs0Z+VZW4C+/1mnZ9LLjHbycl2RyziXEr24hqnTsH775sS0KFDZtmHw4fN\njN/aWrjoInMVkJtrHs2oqwCRDkr2EhHa282JYONG07ZuhREjID7etLg483rFFaYklJWlG8ESXZTs\nJSKdPGmWeG5qMnMDmpqgsdGcELZuNaODrrjCTBBLSYGEhI6WmAijRoHPp6WgJXIo2UtUammBHTtg\n2zZTBvr664725ZfmAe5Hj5qkP3q0GRI6blxHy8jQstDiLkr2ImfR3GyS/qefmucC1NSYp3/t3Qsf\nf9xxBXDppeZ11Chz3yA+vqOElJBgThYJCXZ/Gol2SvYi/dDWZm4O/+MfXVtDgykXBQKmNTSYWcUx\nMXDZZaaNGQPDh8OFF5oZxRdeaO4fJCTAyJEdLSFBy0vLwFGyFwkzyzKlof37TQuWiI4dM1cPx46Z\nE8PXX5uTQ7A1NZmkn5Rkms9nrhIuu8yUlcaONSeOuDg9bEZ6pmQv4lBtbeYkceSIea5Afb0pKX3y\nSUc7cMCcLIYONVcIwauFCy7o2uLjO+YkBFt8vDku2IYNMycVXU1EJiV7EZdrb4fjxzuuFo4dgxMn\nTGttNa9ff21uRH/+ecf8hKYmc1ywHTtm9ktONpPUxowx9yMuuMCUoWJjO17PdDIJXn0kJYHXa/df\nRf6Zkr2IhJw4Ye491NWZq4ZDh8ww1ra2rq8nTpgRTcGTSmNjxxXIF1+Yq4Rhw0zS93rNCcLr7Vjw\nLvjq9XYMeQ3eqxgxwtzTCLa4uK73Nzpfvah81XtK9iIyoCzLXCEcP26uLE6eNK+trV0Xvjt1ynyv\n872K4PDXY8fMlcrRo+YKpPP9jWBrbe24yvB6TSkqOBIq2OLjzckheEP8wgvNfsFjOp+Mgiek4Gtw\nNNWIEZHxbAYlexFxJcvqKFMFrzQCAXOVEWyBQNcTxNGjZr/gcZ1fT57saK2tXX/X0KHmCqPzctzB\nr2NiOlrwpPHPZa7//E/zQB879TV39nh+q6iooLS0FJ/Ph8fjYenSpV1+3tLSQn5+PikpKdTU1LB4\n8WIyMjIAeOmll3jvvfcYPHgwaWlp3HfffX38OCISLTyejmQaTpZlThKBQNerkuCy3KdOdZS3gi14\nAgo2V86zsLpx7NgxKz093WptbbUsy7Juu+02a8OGDV32efzxx60nn3zSsizL2rVrl3XttddalmVZ\nn376qTV58uTQfjNmzLBqampOe48eQnC8TZs22R3COVH89lL89nFz7JbV99zZ7e2QqqoqUlNTiY2N\nBSA7O5uysrIu+5SXl5OVlQXAxIkT2blzJ01NTaxfv55p06aF9svKymLdunUDe6ZygMrKSrtDOCeK\n316K3z5ujr0/uk329fX1xHVaSjA+Pp76+vpe7XPkyJEejxURkfOj22Tv9/tpamoKbTc2NuL3+7vs\n4/P5CAQCoe1AIIDf78fn8/V4rIiInCfd1XiCNfsTJ05YlmVq9hs3brS++uorKxAIWJZlWcuWLbOe\neOIJy7Is6/3337euu+46y7LOXLOvra09Y91JTU1NTa3vrS96HHpZUVFBSUkJSUlJeL1eioqKKCgo\nIDExkYKCgtBonOTkZGprayksLCQ9PR2Al19+mR07djB48GDGjx/Pvffe291biYhImNg+zl5ERMJP\nk5NFRKKArZOGe5qw5TSHDx9myZIlvP/++7zzzjsAfPXVV/zsZz/j8ssvp6amhl/84hf4fD6bIz3d\nvn37KCoqYurUqRw8eJCLLrqIoqIi18RvWRZz584lMzOT1tZW9u3bx5o1a2hubnZF/EHHjx/n6quv\nZs6cOTz55JOu+fsDZGZmMvSbx3nFxMTwxhtvuCr+vXv38pvf/IaEhAS2bt3K0qVLSUtLc0X8n3zy\nCbNnz2bMmDGAGQhz1VVX8fTTT7N48eLexd+nCv8A6s2ELacpKSmx1q5da02fPj30vfvvv9/64x//\naFmWZa1du9b6wQ9+YFd43dq+fbv12muvhbYnTJhgvfvuu66Jv7293XrsscdC27fccov18ssvuyb+\noEWLFll33nmn9fDDD1uW5Z5/P5ZlWcXFxad9zy3xt7W1Wddee20o3xw+fNg6cuSIa+L/8ssvu+TH\n4uJi669//Wuf4rct2VdUVFizZ88ObT/99NPWokWL7Aqn1zZt2tQl2Y8ePdo6ePCgZVnmP0hiYqJd\nofXJFVdcYe3Zs8eV8Z88edKaNm2atWPHDlfF/9vf/tZ69dVXreLi4lCyd1P8t912m7V8+XKruLjY\nKisrsyzLPfFXVVVZs2bNsp555hlr+fLl1urVq6329nbXxN9ZS0uLNXfuXMuy+vb3t62M05sJW27Q\n+XPEx8fT0NBAe3s7gxy8VmtpaSk33XQT48ePd138r7/+OitWrODmm29m2rRprol/9+7dfPjhhzz2\n2GPs3LkztICVW+IHKCgoYMaMGbS3t3PdddcxfPhw18RfV1fHjh07KCkpwe/3c9ddd+H1el0Tf2e/\n//3v+d73vgf07d+PbZ+oNxO23KDz5LFAIMDIkSMd/Q9l06ZNbN68mRUrVgDui//GG29k3bp1fPzx\nx7zwwgtdJvU5Of4///nPDBkyhOXLl/Pmm2/yzjvvsGrVKtfEDzBjxgwABg0axLXXXktlZaVr4o+P\nj2fMmDGhHHPNNdewdetW/H6/K+LvrKSkhNtvvx3o2/+/tn2qzMxM6urqaG1tBWDbtm3k5eXZFU6/\n5eXlsW3bNgDefPNN5s6da3NEZ1dWVsbrr7/OypUrOXToEFVVVa6J/8MPP6S8vDy0fdlll7F//37m\nzp1LVVUV4Oz4H3nkkdAclezsbGbOnMlDDz1EXl6eK+Lfu3cva9asCW3X1NSQlpbmmvivvvpqmpqa\nOHHiBGB6+uPGjXNN/EGVlZVcc801DP7mWZN9+f/X1nH2Z5qw5WRbtmzhxRdfZP369cyfP59FixZx\n/PhxCgoKSE1NZd++fSxfvpykpCS7Qz3Nu+++S05ODjNmzMCyLI4dO8YDDzzAzTff7Ir4P/74Yx5+\n+GGmTp3KyZMn2bNnD8888wyxsbGuiD/o1Vdf5fnnn+fkyZMsWLCAOXPmuCL+zz77jAceeIApU6YQ\nCARoa2vj6aefpqGhwRXxg7m6WrduHaNHj+bgwYOsWrWK5uZm18QP8P3vf5/nnnuOxMREgD79/TWp\nSkQkCji7OCUiIgNCyV5EJAoo2YuIRAElexGRKKBkLyISBZTsRUSigJK9iEgUULIXEYkC/w/QMK9j\nrM1a/gAAAABJRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x10d6c0990>" ] } ], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "ae_3 = MLAutoencoder([64,32,16,8,4,2,4,8,16,32,64])\n", "X_3 = ae_3.transform(X_pca)\n", "pl.scatter(X_3[:,0],X_3[:,0],c=train_set[1][:len(X_3)])\n", "print(one_nn_baseline(X_3,train_set[1][:len(X_3)]))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0.1534\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYAAAAD/CAYAAAD4xAEfAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nhAhQUgCEECJASQEQQogAJQVACCEC1P8H7577u5McOsgAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x10dc4c2d0>" ] } ], "prompt_number": 14 }, { "cell_type": "code", "collapsed": false, "input": [ "ae_4 = MLAutoencoder([64,16,4,2,4,16,64])\n", "X_4 = ae_4.transform(X_pca)\n", "pl.scatter(X_4[:,0],X_4[:,0],c=train_set[1][:len(X_4)])\n", "print(one_nn_baseline(X_4,train_set[1][:len(X_4)]))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0.1574\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXYAAAD/CAYAAADllv3BAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nkRjzf/Aoe9kWZ3Q1AAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x10de3c110>" ] } ], "prompt_number": 17 }, { "cell_type": "code", "collapsed": false, "input": [ "ae_5 = MLAutoencoder([64,10,64])\n", "X_5 = ae_5.transform(X_pca)\n", "pl.scatter(X_5[:,0],X_5[:,0],c=train_set[1][:len(X_5)])\n", "print(one_nn_baseline(X_5,train_set[1][:len(X_5)]))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0.5106\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX4AAAD/CAYAAAD2Qb01AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nKn4RkQSj4hcRSTAqfhGRBPP/AY+W4tTwjAzjAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x114681c50>" ] } ], "prompt_number": 25 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "from sklearn import datasets\n", "data = datasets.load_digits()\n", "X = data.data\n", "Y = data.target" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 22 }, { "cell_type": "code", "collapsed": false, "input": [ "X.shape" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 23, "text": [ "(1797, 64)" ] } ], "prompt_number": 23 }, { "cell_type": "code", "collapsed": false, "input": [ "pca = PCA(32)\n", "X_pca = pca.fit_transform(X)\n", "pl.plot(pca.explained_variance_ratio_)\n", "print(sum(pca.explained_variance_ratio_))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0.966354206963\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXsAAAD/CAYAAAAQaHZxAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzt3Xt0VOW5x/HvhJkhXBIkmhkiwQRI9GixhOsJKwebRERa\nYFk1Vns7YkU8MVTUhg41BINiBXsQOQWppyxW6xIrmC6KNKFiDAOtRIscwUCEJlyiVHFQMRMIGAJz\n/tjNkEDIjYQ9l99nrb1m78y7Z57ZsJ5559nvfrfF5/P5EBGRkBZhdgAiItL9lOxFRMKAkr2ISBhQ\nshcRCQNK9iIiYUDJXkQkDFjbalBSUsK6detwOBxYLBbmzZt3QZs1a9aQl5fH0qVLmTx5sv/v77zz\nDkVFRfTq1Qu3282qVauIj4/v2k8gIiJtajXZ19XVkZ2dTUVFBTabjaysLEpLS8nMzPS3OXToEE6n\nk0GDBmGxWPx/93q95OXl8dZbbwHw/e9/n5iYmG76GCIi0ppWyzhlZWUkJCRgs9kASEtLo6ioqFmb\nxMRE0tPTL9i3uLiYK664giVLlrBgwQK2b99O7969uy5yERFpt1Z79h6Ph6ioKP92dHQ0Ho+nXS9c\nXV1NWVkZq1evJjIykszMTGJjY8nIyLi0iEVEpMNa7dk7nU5qa2v92zU1NTidzna9cL9+/bjxxhuJ\njIwEIDU1la1bt15CqCIi0lmt9uxTU1Oprq6mvr4eu93Otm3byMnJ4dixY1it1ma9foCm0+xkZGTw\n/PPP+7c/+ugjpkyZcsF7NK3zi4hI+3VkarNWe/a9e/dmxYoVPPzww+Tn5zN8+HAyMjJYuHAhL7zw\ngr/dggULqK6uZu3atWzatAmA6667jlmzZvHII4/wxBNPEBcXxz333HPRgIN1eeKJJ0yPQfGbH4fi\nD74lmGP3+To+f2WbQy8nTJjAhAkTmv1t0aJFzbbnzp3L3LlzL9g3Ozu7wwGJiEjX00VVIiJhQMn+\nErU07DSYKH5zKX7zBHPsnWHxdab405UBWCydqj+JiISzjuZO9exFRMKAkr2ISBhQshcRCQNK9iIi\nYUDJXkQkDCjZi4iEASV7EZEwoGQvIhIGlOxFRMJAQCT79983OwIRkdAWEMl+zhyzIxARCW0BkewP\nHICSErOjEBEJXQGR7J9+2ujdnz1rdiQiIqEpIJJ9VhZYLPDaa2ZHIiISmgJmiuPSUpgxAyoqwG43\nMyIRkcAXtFMcZ2ZCUhL89rdmRyIiEnoCpmcPsHMnfPvb8I9/QFSUmVGJiAS2Lu/Zl5SUkJOTw/z5\n83nyySdbbLNmzRqSkpIoKiq64DmPx8PAgQNZvnx5m8GkpMDNN8Nzz7UjchERaTdra0/W1dWRnZ1N\nRUUFNpuNrKwsSktLyczM9Lc5dOgQTqeTQYMGYbFYmu1/9uxZ5s6dy5gxY9od0FNPwejR8F//BU5n\nBz+NiIi0qNWefVlZGQkJCdhsNgDS0tIu6L0nJiZe9Ma9zz77LNOnT6d///4XfBFczODB8OMfw4IF\n7WouIiLt0Gqy93g8RDUpnkdHR+PxeNr1wqWlpfTu3ZuxY8fi8/k6VFvKy4M//AH272/3LiIi0opW\nk73T6aS2tta/XVNTg7OdtZUNGzZw8uRJFi1axO7du3nzzTf53e9+1659Y2PhkUdg7tx2NRcRkTa0\nWrNPTU2lurqa+vp67HY727ZtIycnh2PHjmG1Wpv1+oFmvfclS5b41/fu3cvo0aOZNm1ai+9TUFDg\nX09PTyc9PZ1HH4XkZNixA0aN6sQnExEJIW63G7fb3en92xx6WVJSQmFhIbGxsdjtdvLz83G5XMTE\nxOByuQBYsGABq1atYvz48fzwhz9k4sSJ/v1XrVrF8uXLiY+PJzs7m0mTJjUPoJXhQ7/5Dfzxj/Dm\nm53+fCIiIamjQy8Dapz9+U6fhm98A5Yvh1tuucyBiYgEsKC9grYlNhv88pfgcmmSNBGRSxHQyR7g\nzjuNpK9J0kREOi/gk73FArNnw4svmh2JiEjwCuiafaOvv4aBA2H7duOiKxGRcBdSNftGPXvCPffA\nSy+ZHYmISHAKip49GOPt77oLqqogIii+okREuk9I9uwBRo6EPn3gr381OxIRkeATNMneYoFp06Cd\nMy6IiEgTQVPGAThyBK6/Hj7+GPr27ebAREQCWMiWcQAGDIDx46Gw0OxIRESCS1Ale1ApR0SkM4Kq\njANQX2+MuX/3XRgypBsDExEJYCFdxgGw2+H734ff/97sSEREgkfQ9ewB/u//4I474MABjbkXkfAU\n8j17gBEjoF8/2LLF7EhERIJDUCZ7jbkXEemYoCzjAHg8cO21xpj78+6OKCIS8sKijAPgcMC3vqV5\n7kVE2iNokz3AffeplCMi0h5BW8YBY8x9fDxs2wZJSV0cmIhIAOuWMk5JSQk5OTnMnz+fJ598ssU2\na9asISkpiaKiIv/ftm/fzo9+9CMWL17MjBkzWLlyZbsDaw+7HX7wA81zLyLSFmtbDerq6sjOzqai\nogKbzUZWVhalpaVkZmb62xw6dAin08mgQYOwWCz+vx85coRHHnmE0aNH09DQgMPh4I477iAmJqbL\nPsC0aXDbbVBQoDH3IiIX02Z6LCsrIyEhAZvNBkBaWlqz3jtAYmIi6enpF+w7depURo8eDYDP58Nq\ntfpfp6ukpEBMDGze3KUvKyISUtpM9h6Ph6gmYxujo6PxeDwdfqNly5aRl5fX7LW6isbci4i0rs0y\njtPppLa21r9dU1OD0+ns0Ju88sornDx5kscff7zF5wsKCvzr6enpLf5KaM0PfgBPPAFeL0RHd2hX\nEZGg4Ha7cbvdnd6/zdE4dXV1DB8+nD179mC328nKyiInJ4eUlBSsVmuznnpGRga5ublMnjzZ/7eV\nK1dy4sQJZs2aRXl5OZGRkSQnJ58L4BJG4zR1++0weTJMn37JLyUiEvC6fDRO7969WbFiBQ8//DD5\n+fkMHz6cjIwMFi5cyAsvvOBvt2DBAqqrq1m7di2bNm0CYP369eTm5rJ+/XoyMjL44Q9/yKefftqJ\nj9U2jbkXEbm4oB5n39Tp03DVVbB/v/EoIhLKwma6hPPZbDByJOzYYXYkIiKBJ2SSPcDo0fDee2ZH\nISISeEIu2atnLyJyoZBL9urZi4hcKKSS/ZAhUFsLn31mdiQiIoElpJK9xaJSjohIS0Iq2YNKOSIi\nLQm5ZD9qlJK9iMj5Qi7Zq2cvInKhkEv2CQnGHaw++cTsSEREAkfIJXudpBURuVDIJXtQKUdE5HxK\n9iIiYSCkk72583mKiASOkEz2AwcatfvDh82OREQkMIRksrdYNN5eRKSpkEz2oBE5IiJNhXSyV89e\nRMQQMrclPN8nn8A3vwlHjxplHRGRUBK2tyU839VXQ8+eUF1tdiQiIuazttWgpKSEdevW4XA4sFgs\nzJs374I2a9asIS8vj6VLlzJ58mT/319++WV27txJjx49GDp0KDNmzOja6NvQWMpJTLysbysiEnBa\nTfZ1dXVkZ2dTUVGBzWYjKyuL0tJSMjMz/W0OHTqE0+lk0KBBWJrUSw4fPszixYt5//33ARg7diyZ\nmZkkJSV100e5UGOyz8q6bG8pIhKQWi3jlJWVkZCQgM1mAyAtLY2ioqJmbRITE0lPT79g3zfeeINR\no0b5t8eNG8fGjRu7IOT200laERFDq8ne4/EQFRXl346Ojsbj8bTrhY8ePdrpfbvKqFHG8EtdSSsi\n4a7VMo7T6aS2tta/XVNTg9PpbNcLOxwOqqqqmu177bXXtti2oKDAv56ent7iL4XOcDggKgoOHICh\nQ7vkJUVETOF2u3G73Z3ev9Vkn5qaSnV1NfX19djtdrZt20ZOTg7Hjh3DarU267kDzYYB3Xrrrfz6\n17/2b7/zzjvMmjWrxfdpmuy7WmMpR8leRILZ+R3h+fPnd2j/VpN97969WbFiBQ8//DCxsbEMHz6c\njIwMXC4XMTExuFwuABYsWEB1dTVr167FZrMxceJEBg4cSG5uLo8++ig9evTggQceYKgJGbcx2d99\n92V/axGRgBGyF1U12rQJnnkGNm/utrcQEbnsOpo7Qz7Zf/EFDBkCx45BRMheQiYi4UZX0J7nyiuN\npbLS7EhERMwT8skeNN5eRCQskn3jeHsRkXAVFslePXsRCXchf4IWjJOz11wDX30FPXp061uJiFwW\nOkHbgv79YcAA2LfP7EhERMwRFskeVMoRkfCmZC8iEgaU7EVEwkBYnKAF8HqNWxV+9RVY27w/l4hI\nYNMJ2ouIjob4ePjwQ7MjERG5/MIm2YNKOSISvpTsRUTCgJK9iEgYCJsTtADHj4PTaVxRa7dflrcU\nEekWOkHbir59YfBg2LPH7EhERC6vsEr2oFKOiISnsEv2Y8bAu++aHYWIyOUVdsk+PR1KS82OQkTk\n8mrzWtKSkhLWrVuHw+HAYrEwb968Zs+fOnWK3Nxc4uPjqaysZM6cOSQnJwPw2GOPYbPZAGhoaGDx\n4sXd8BE65oYboK4ODh406vciIuGg1WRfV1dHdnY2FRUV2Gw2srKyKC0tJTMz09/m+eefJzExkdzc\nXHbv3s3999/P1q1beffddyktLWXnzp0ApKSk8Pe//52xY8d27ydqg8UCmZlG7/7++00NRUTksmm1\njFNWVkZCQoK/d56WlkZRUVGzNsXFxYwbNw6AYcOGsWvXLo4fP86VV17J8ePHOXPmDA0NDRw/fpyr\nrrqqmz5Gx9x8M7z1ltlRiIhcPq327D0eD1FRUf7t6OhoPB5Pu9okJSUxY8YM7rrrLqxWK9OnT2fI\nkCFdHH7n3Hwz5OWBz2f09EVEQl2ryd7pdFJbW+vfrqmpwel0NmvjcDjwer3+ba/Xi8Ph4PXXX2fz\n5s1s3LgRgKysLNasWcPdd999wfsUFBT419PT00lPT+/MZ2m3xETo08cYbz9sWLe+lYhIl3C73bjd\n7k7v32qyT01Npbq6mvr6eux2O9u2bSMnJ4djx45htVqJiopi8uTJlJWV8R//8R+Ul5eTkpJC3759\nOXz4MAMGDPC/VlxcHB999FGL79M02V8ujaUcJXsRCQbnd4Tnz5/fof3bnC6hpKSEwsJCYmNjsdvt\n5Ofn43K5iImJweVy+UfjxMXFUVVVRV5eHklJSdTV1fHggw+SkJBAREQEVVVVvPjii81KPnB5p0to\n6tVX4Q9/gPXrL/tbi4hcso7mzrCaG6cpjweuvRY+/1w3MxGR4KO5cdrJ4YBrroEdO8yORESk+4Vt\nsgcNwRSR8KFkr2QvImEgbGv2cO4m5EePQq9epoQgItIpqtl3QHQ03HgjlJWZHYmISPcK62QPKuWI\nSHhQsleyF5EwENY1e4BTpyA2Fg4fhn79TAtDRKRDVLPvoMhISE2FLVvMjkREpPuEfbIHY357lXJE\nJJQp2WPU7XWrQhEJZWFfswc4cwauugr27oXzZnAWEQlIqtl3Qo8e8K1vqXcvIqFLyf5fNARTREKZ\nkv2/6CStiIQyJft/ueEGY8z9gQNmRyIi0vWU7P/FYjF696rbi0goUrJvQnV7EQlVGnrZRHU1jB0L\nR44YPX0RkUCloZeXICEB+vaF3bvNjkREpGu1eavtkpIS1q1bh8PhwGKxMG/evGbPnzp1itzcXOLj\n46msrGTOnDkkJycD8M4771BUVESvXr1wu92sWrWK+Pj47vkkXaSxlHPjjWZHIiLSdVot49TV1TF8\n+HAqKiqw2WxkZWXx0EMPkZmZ6W+zcOFCrFYrubm57N69m4ceeoitW7fi9Xq5/fbbeetfRfCDBw/i\ndDrp3bt38wACqIwDsGYNvPwybNhgdiQiIhfXpWWcsrIyEhISsNlsAKSlpVFUVNSsTXFxMePGjQNg\n2LBh7Nq1i9raWoqLi7niiitYsmQJCxYsYPv27Rck+kCUmQl//Ss0NJgdiYhI12m1jOPxeIiKivJv\nR0dH4/F42tWmurqasrIyVq9eTWRkJJmZmcTGxpKRkdHFH6FrxcYatfv33jOmPhYRCQWtJnun00lt\nba1/u6amBud5M4U5HA68Xq9/2+v14nQ66devHzfeeCORkZEApKamsnXr1haTfUFBgX89PT2d9PT0\nznyWLtNYt1eyF5FA4Xa7cbvdnd6/XTX7PXv2YLfbycrKIicnh5SUFKxWK1FRUSxatIiIiAhmz55N\neXk5M2fOZMuWLezdu5fvfve77N27F4Af/ehHTJkyhXvuuad5AAFWswf485/hued0gZWIBK6O5s42\nx9mXlJRQWFhIbGwsdrud/Px8XC4XMTExuFwu/2icuLg4qqqqyMvLIykpCYAVK1awb98++vXrR11d\nHb/61a8uOeDLweuFq68GjweC4DSDiIShLk/23S0Qkz3A974HDgcsW2Z2JCIiF9JFVV3kt7+FTZvg\n9783OxIRkUunnn0r9uyB9HT4y19g1CizoxEROUc9+y70jW/AihVw553w+edmRyMi0nnq2beDywU7\ndhg9fGubE0yIiHQ/9ey7wdNPG7NgPv642ZGIiHSOkn07WK3w6qvw2muwdq3Z0YiIdJzKOB3w/vsw\ncSJs3gzDhpkdjYiEM5VxutGIEcaVtbffDl99ZXY0IiLtp559Jzz8MBw8COvXQ4S+LkXEBOrZXwaL\nFxs9+6eeMjsSEZH2UbLvBJvNOFm7cqVuciIiwUHJvpMGDIDCQrj/fo3QEZHAp0uELsG//zu8+SZM\nmQIffQQ/+5kxHl9EJNDoBG0XOHwYvvMduOkmWLoUevQwOyIRCXWa4tgkNTXGHDp9+sAf/qB58EWk\ne2k0jkn69YPiYrjiCsjIMG58IiISKJTsu5DdDr/7HUyaBOPGwb59ZkckImLQCdouZrHA/PmQkADf\n+hb88Y+QlmZ2VCIS7tSz7yY/+Ylxl6vbbzeGaIqImEk9+250663GrQ2nTIHPPoOcHLMjEpFw1Way\nLykpYd26dTgcDiwWC/PmzWv2/KlTp8jNzSU+Pp7KykrmzJlDcnKy/3mPx8OIESN4/PHHyQnDbJeS\nAn/9K0yYACdOwM9/bnZEIhKOWk32dXV1ZGdnU1FRgc1mIysri9LSUjIzM/1tnn/+eRITE8nNzWX3\n7t3cf//9bN26FYCzZ88yd+5cxowZ072fIsANHgxbtxoJ//hxo6avi69E5HJqtWZfVlZGQkICNpsN\ngLS0NIqKipq1KS4uZty4cQAMGzaMXbt2cfz4cQCeffZZpk+fTv/+/bGEeXYbOBC2bDFmyszNhRC4\ntEBEgkiryd7j8RAVFeXfjo6OxnPeAPKLtSktLaV3796MHTsWn88XEhdOXSqHw7jxyd/+BtnZcPas\n2RGJSLhotYzjdDqpra31b9fU1OB0Opu1cTgceL1e/7bX6yU2NpZf//rXDBgwgEWLFrF7926++uor\n+vTpw7Rp0y54n4KCAv96eno66enpnfs0QSAmBkpKjJO206bBqlW6ibmItM3tduN2uzu9f6vTJdTV\n1TF8+HD27NmD3W4nKyuLnJwcUlJSsFqtREVFsWjRIiIiIpg9ezbl5eXMnDmTLVu2NHud++67j9Gj\nR7d4gjZUpkvoqLo6uOMO6NsXXnnFuCBLRKS9unxunJKSEgoLC4mNjcVut5Ofn4/L5SImJgaXy+Uf\njRMXF0dVVRV5eXkkJSX591+1ahXLly8nPj6e7OxsJk2adEkBh5Kvv4Z77oH6emMsfq9eZkckIsFC\nE6EFmdOnjXLOp5/C668bPX0RkbZoIrQgY7PBSy/B0KEwfrwxWkcnbkWkq6lnHyB8PuOOV433t330\nUbj3Xk2VLCItUxknyPl8xhW3ixdDWRk8+CDMnAnnDYISkTCnMk6Qs1iMO16tX2+Mx//8c/i3fzPu\ndbtnj9nRiUiwUrIPYNdeCytWQGUlJCbCzTfDt78NGzfCmTNmRyciwURlnCBy6hSsXm18AXz+OTzw\nANx3H1x9tdmRicjlpjJOCIuMNMo5771n3BTlo4/gG98w5sz/y1/U2xeRi1PPPsjV1sKrr8KLL6q3\nLxJO1LMPM1FRRoI/v7d/993QZMoiEQlz6tmHoNpaYxrligqjvNOnj9kRiUhX0zh7AYyrcB94AA4d\ngj//WfPuiIQaJXvxO3PGmHfn6FH405+ME7wiEhqU7KWZhgb4wQ+MYZuFhZpKWSRU6AStNGO1GmPz\nIyKMpN/QYHZEImIGJfswYLPBmjXGDVP+8z81Hl8kHCnZh4mePY2hmUePwvTpmkZZJNwo2YeRXr2M\nE7UHDhg3PNepEpHwoWQfZvr0MYZifvABzJqlhC8SLjQaJ0x99RVMmGCUd2680ZhhMznZWIYM0agd\nkUCnoZfSbsePw9tvG1MoNy7/+Ad8/DHEx59L/tdfb5zY1f1xRQJHtyT7kpIS1q1bh8PhwGKxMG/e\nvGbPnzp1itzcXOLj46msrGTOnDkkJyezfft2li5dyogRI9i3bx9jx45l+vTplxSwdL/Tp+HgwXNf\nAG+/bcy989vfGr8GRMR8XZ7s6+rqGD58OBUVFdhsNrKysnjooYfIzMz0t1m4cCFWq5Xc3Fx2797N\nQw89xNatW9mwYQNxcXGMHj2ahoYGHA4HVVVVxMTEdDpgMcdf/gIzZsCtt8J//zf062d2RCLhrcsv\nqiorKyMhIQGbzQZAWloaRUVFzdoUFxczbtw4AIYNG8auXbs4fvw4U6dOZfTo0QD4fD6sVqv/dSS4\nTJoEu3dDjx4wbBgUF5sdkYh0RJvJ3uPxEBUV5d+Ojo7G4/F0uM2yZcvIy8tr1k6CS3Q0/OY38Pvf\nGzdBv/de+PJLs6MSkfawttXA6XRSW1vr366pqcHpdDZr43A48DaZPN3r9eJwOPzbr7zyCidPnuTx\nxx9v8T0KCgr86+np6aSnp7c3fjFBZqYxdDMvz+jlL19u3C1LRLqP2+3G7XZ3ev921+z37NmD3W4n\nKyuLnJwcUlJSsFqtREVFsWjRIiIiIpg9ezbl5eXMnDmTLVu2ALBy5UpOnDjBrFmzKC8vJzIykuTk\n5HMBqGYf1P72N/jJT2DECHjmGRg40BjOKSLdq9tG4xQWFhIbG4vdbic/Px+Xy0VMTAwul8s/Gicu\nLo6qqiry8vJISkpi/fr13HvvvYwcORKfz8cXX3zBsmXLuOmmmzodsASekyfhiSfgpZeMso7NBjEx\nLS9XXglTpxrDOUWk8zTOXkzl88GJE0bSP385dgw++cSYlG3kSPjZz4ySkMVidtQiwUfJXgLeqVPw\n8svw3HPGlbqPPQb33KOrdkU6QslegsbZs/DGG0bSr6gwRvg8+KBR7hGR1unmJRI0IiLg29+GN9+E\njRth3z5ISjKS/s6dRulHUzGLdA317CWgfPopLFtmzL3/2WfG/D1XXQWxseBwGI9N1wcNMiZuS0zU\nPXYlvKiMIyGlvh4+/xw8HuPGK42PR48aXwYff2zMz//RR0byHzLk3DJ0qPE4eLDx5RCh37ESQpTs\nJSydOQOHDxuJ//zl4EFjSmenE66+2rgW4Oqrzy2N20OH6teBBA8le5EW1NfDkSPwz38awz8bl8bt\nf/7TeH7KFPje92DiRF0cJoFNyV6kk44cMc4VrF0L5eXGxV/f+x7ccouGhUrgUbIX6QKffHIu8e/Z\nA7fdZiT+m29W4pfAoGQv0sUOHz6X+Csq4JvfhBtuaL4MGKArgeXyUrIX6UaffWbM619RYSwffmg8\n1tc3T/6DBhk1f7v94kvPnsZJY/1SkM5QshcxwdGj5xL/hx8avwbq61tfTp0yhpXGxTUfKtr0sX9/\nsz+ZBCole5Egcvq0cY3A/v3GMNGmj/v3g9Vq/Ero2xf69DGWputNl3794IorjC+I/v3PrffurRJT\nKFKyFwkRPp/R8z982JhJtLXl+HHweo2ZRY8dM64raFw/c+Zc4u/Xz/hi6N373OP5S58+xkVo115r\nTF+hm8sFJiV7EWnm1Ckj+TcudXWtLydOGKORKiuhqsr4gkhONpJ/cvK5ZehQ6NVLvxrMomQvIl3m\n7FnjgrPKSmP5xz/OrR84YJShIiONpWfPltejooxfCk7nucem6zExxo3spWOU7EXksmlogK+/Nn49\nnP/YuF5TY8xp9Nln5x6brnu9RsKPijLKSL16nSspNa43Pjb94mj6hXHFFeH3C0PJXkSCyunTxrmJ\n48eNMtLJkxd/bPziOP9L4+RJYyK8xi+BxtlRG2dMbXxsXA+FLwclexEJO6dONf8SaJwZ9fPPmz82\nrtfVGQm/V69zJaeWylA9e8K0acaUGYGmo7nT2laDkpIS1q1bh8PhwGKxMG/evGbPN95sPD4+nsrK\nSubMmUNycjIAL7/8Mjt37qRHjx4MHTqUGTNmdPDjiIi0LTISrrnGWNqjvt4YqdRS2en8v8XHd2/s\nl42vFSdOnPAlJSX56uvrfT6fz3fnnXf63nrrrWZtnnnmGd+vfvUrn8/n85WXl/vGjx/v8/l8vo8/\n/tiXkpLibzdmzBhfZWXlBe/RRggBb/PmzWaHcEkUv7kUv3mCOXafr+O5s9XbOZSVlZGQkIDNZgMg\nLS2NoqKiZm2Ki4sZN24cAMOGDWPXrl3U1tbyxhtvMGrUKH+7cePGsXHjxq79pgoAbrfb7BAuieI3\nl+I3TzDH3hmtJnuPx0NUkysqoqOj8Xg87Wpz9OjRNvcVEZHLo9Vk73Q6qa2t9W/X1NTgdDqbtXE4\nHHi9Xv+21+vF6XTicDja3FdERC6T1mo8jTX7r7/+2ufzGTX70tJS35dffunzer0+n8/nW7hwoe/Z\nZ5/1+Xw+3wcffOC76aabfD5fyzX7qqqqFutOWrRo0aKl40tHtDn0sqSkhMLCQmJjY7Hb7eTn5+Ny\nuYiJicHlcvlH48TFxVFVVUVeXh5JSUkArF69mvfee48ePXpw3XXX8cADD7T2ViIi0k1MH2cvIiLd\nr9WavYiIhIY2L6rqTm1dsBXoUlNT6dWrFwBWq5U333zT5Ihad+TIEebOncsHH3zA3//+dwC+/PJL\nfvGLXzBkyBAqKyv55S9/icPhMDnSlrUUf0FBAVu2bPG3ycvLY8KECWaFeFH79+8nPz+fkSNHcvjw\nYa688krERvOAAAADrElEQVTy8/OD5vhfLP5gOf4+n48pU6aQmppKfX09+/fvZ9WqVdTV1QXF8b9Y\n/AsXLmz/8e9Qhb8LteeCrUBXUFBgdggdUlhY6NuwYYNv9OjR/r89+OCDvtdee83n8/l8GzZs8P34\nxz82K7w2tRR/sPwbbN++3ff666/7t2+44Qbfjh07gub4Xyz+YDn+Z8+e9T399NP+7dtuu823evXq\noDn+F4u/I8fftJr9W2+9xTPPPENJSQkAS5Ys4fDhwyxevNiMcDolKyuLsWPHcvLkScaMGcN3vvMd\ns0Nqk9vtZvbs2Wzfvh2Aa665hrKyMgYOHMiXX35JcnIyX3zxhclRXtz58c+fPx+bzUbPnj05c+YM\nP/3pT/2/tgLZ9ddfz5/+9CduueWWoDr+jRrjf/XVV4Pu+Dc0NJCamsqLL77I7bffHnTHvzH+//3f\n/2XDhg3tPv6mlXHac8FWoHO5XIwZM4azZ89y0003ERUVxfjx480Oq0Oa/jtER0dz7Ngxzp49S0RE\ncJzOueuuuxg8eDC9evVixYoV/PSnP2XlypVmh9WqdevWMWnSJK677rqgPP5N4w+2479p0yaWLFnC\n1KlTGTVqVNAd/6bxjxw5ksjIyHYff9M+UXsu2Ap0Y8aMASAiIoLx48ezefNmkyPquKYXv3m9Xvr3\n7x+w/9FbcsMNN/h7MhkZGZSWlpocUes2b97Mli1bWLJkCRB8x//8+IPt+E+cOJGNGzdy4MABXnjh\nhWYXhQbD8W8a/4oVKzp0/E37VKmpqVRXV1NfXw/Atm3bmDx5slnhdNi+fftYtWqVf7uystJ/fUEw\nmTx5Mtu2bQPg7bffZsqUKSZH1DE///nP/euB/m9QVFTEpk2beP755/nkk08oKysLquPfUvzBcvw/\n/PBDiouL/duDBw/m4MGDTJkyhbKyMiCwj39L8R84cKBDx9/UcfYtXbAVLD799FNmzpzJiBEj8Hq9\nNDQ08Nxzz5kdVqu2bt3KSy+9xBtvvEF2djaPPfYYJ0+exOVykZCQwP79+1m0aBGxsbFmh9qiluJ/\n8sknqaurw+FwUF5ezlNPPRWQCWfHjh2kp6czZswYfD4fJ06cYObMmUydOjUojn9L8efk5LBv376g\nOP4HDhxg9uzZjBw5ktOnT7N3717+53/+B5vNFhTHv6X4ly5dytKlS9t9/HVRlYhIGAjc4pSIiHQZ\nJXsRkTCgZC8iEgaU7EVEwoCSvYhIGFCyFxEJA0r2IiJhQMleRCQM/D+3Ja8N4VBn7wAAAABJRU5E\nrkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x10c9e7110>" ] } ], "prompt_number": 29 }, { "cell_type": "code", "collapsed": false, "input": [ "ae_6 = MLAutoencoder([32,2,32])\n", "X_6 = ae_6.transform(X_pca)\n", "pl.scatter(X_6[:,0],X_6[:,0],c=train_set[1][:len(X_6)])\n", "print(one_nn_baseline(X_6,Y[:len(X_6)]))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0.204785754035\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX4AAAD/CAYAAAD2Qb01AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n+IUQwslI8QshhJP5Pxs8cYE3BY6jAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x11459c8d0>" ] } ], "prompt_number": 34 }, { "cell_type": "code", "collapsed": false, "input": [ "from time import time\n", "t = time()\n", "n = 1000\n", "data = np.zeros(n)\n", "aes = np.empty(n,dtype=object)\n", "for i in range(n):\n", " aes[i] = MLAutoencoder([32,2,32])\n", " data[i] = one_nn_baseline(aes[i].transform(X_pca),Y)\n", " if i % 10 == 0:\n", " print(i)\n", " print(time() - t)\n", "print(time() - t)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0\n", "0.194649934769\n", "10" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2.03154706955\n", "20" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3.84395194054\n", "30" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "5.65981888771\n", "40" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "7.44265699387\n", "50" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "9.25756311417\n", "60" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "11.0184390545\n", "70" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "12.8358619213\n", "80" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "14.6209049225\n", "90" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "16.4241070747\n", "100" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "18.2939870358\n", "110" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "20.1695868969\n", "120" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "22.0671439171\n", "130" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "23.912115097\n", "140" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "25.7082569599\n", "150" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "27.5964438915\n", "160" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "29.3909459114\n", "170" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "31.2660939693\n", "180" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "33.1158239841\n", "190" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "34.999382019\n", "200" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "36.8691940308\n", "210" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "38.8097789288\n", "220" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "40.6097939014\n", "230" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "42.4128229618\n", "240" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "44.1908180714\n", "250" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "46.0589969158\n", "260" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "47.8918960094\n", "270" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "49.8150060177\n", "280" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "51.6993510723\n", "290" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "53.616314888\n", "300" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "55.5104351044\n", "310" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "57.4169640541\n", "320" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "59.302448988\n", "330" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "61.2635939121\n", "340" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "63.1324279308\n", "350" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "65.0048880577\n", "360" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "66.8759388924\n", "370" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "68.7133328915\n", "380" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "70.5116930008\n", "390" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "72.3125629425\n", "400" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "74.1636300087\n", "410" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "76.0858910084\n", "420" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "77.9752109051\n", "430" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "79.8385710716\n", "440" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "81.653496027\n", "450" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "83.4592349529\n", "460" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "85.3402769566\n", "470" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "87.2055609226\n", "480" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "89.002959013\n", "490" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "90.8366649151\n", "500" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "92.7533609867\n", "510" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "94.6725211143\n", "520" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "96.5500469208\n", "530" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "98.4657280445\n", "540" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "100.366890907\n", "550" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "102.223402977\n", "560" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "104.093169928\n", "570" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "105.994754076\n", "580" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "107.848988056\n", "590" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "109.733473063\n", "600" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "111.51403904\n", "610" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "113.310707092\n", "620" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "115.167814016\n", "630" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "116.977962017\n", "640" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "118.756346941\n", "650" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "120.499243021\n", "660" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "122.330401897\n", "670" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "124.126036882\n", "680" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "125.916685104\n", "690" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "127.737445116\n", "700" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "129.583395004\n", "710" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "131.48704505\n", "720" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "133.282830954\n", "730" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "135.062798023\n", "740" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "136.866306067\n", "750" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "138.65612793\n", "760" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "140.648972988\n", "770" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "142.499213934\n", "780" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "144.423583031\n", "790" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "146.219480038\n", "800" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "148.24416399\n", "810" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "150.061836958\n", "820" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "151.865967989\n", "830" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "153.68166995\n", "840" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "155.598054886\n", "850" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "157.539693117\n", "860" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "159.303280115\n", "870" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "161.414482117\n", "880" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "163.226574898\n", "890" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "164.999115944\n", "900" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "166.782206059\n", "910" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "168.618218899\n", "920" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "170.446815968\n", "930" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "172.184514999\n", "940" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "173.981235027\n", "950" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "175.849663973\n", "960" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "177.72834897\n", "970" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "179.525043011\n", "980" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "181.307591915\n", "990" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "183.114211082\n", "185.045624971" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 43 }, { "cell_type": "code", "collapsed": false, "input": [ "pl.hist(data,bins=10);" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX0AAAD/CAYAAAAddgY2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nBwBDiD4AGEL0AcAQog8AhvwfLZGBOyPveOcAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x10de50790>" ] } ], "prompt_number": 47 }, { "cell_type": "code", "collapsed": false, "input": [ "data_single_layer = data" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 48 }, { "cell_type": "code", "collapsed": false, "input": [ "from time import time\n", "t = time()\n", "n = 1000\n", "data = np.zeros(n)\n", "aes = np.empty(n,dtype=object)\n", "for i in range(n):\n", " aes[i] = MLAutoencoder([32,16,2,16,32])\n", " data[i] = one_nn_baseline(aes[i].transform(X_pca),Y)\n", " if i % 10 == 0:\n", " print(i)\n", " print(time() - t)\n", "print(time() - t)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0\n", "0.216962099075\n", "10" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2.2189950943\n", "20" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "4.15798616409\n", "30" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "6.06711602211\n", "40" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "8.01408815384\n", "50" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "10.0655210018\n", "60" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "12.0787651539\n", "70" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "14.070389986\n", "80" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "16.1167831421\n", "90" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "18.1455640793\n", "100" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "20.1917440891\n", "110" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "22.2622401714\n", "120" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "24.2454390526\n", "130" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "26.1740751266\n", "140" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "28.1101310253\n", "150" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "30.0664069653\n", "160" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "31.963545084\n", "170" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "33.858533144\n", "180" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "35.7541871071\n", "190" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "37.6930379868\n", "200" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "39.6187551022\n", "210" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "41.545979023\n", "220" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "43.4524550438\n", "230" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "45.3248341084\n", "240" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "47.2275929451\n", "250" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "49.1496789455\n", "260" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "51.0615711212\n", "270" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "52.9538550377\n", "280" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "54.8211610317\n", "290" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "56.7717170715\n", "300" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "58.772331953\n", "310" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "60.8229401112\n", "320" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "62.821532011\n", "330" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "64.9485230446\n", "340" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "66.9792029858\n", "350" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "68.9326629639\n", "360" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "70.8849110603\n", "370" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "72.789700985\n", "380" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "74.7128090858\n", "390" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "76.6637570858\n", "400" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "78.6264181137\n", "410" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "80.5597600937\n", "420" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "82.5029611588\n", "430" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "84.4260849953\n", "440" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "86.3793540001\n", "450" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "88.3611690998\n", "460" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "90.3413231373\n", "470" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "92.3073141575\n", "480" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "94.2598969936\n", "490" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "96.1740159988\n", "500" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "98.2094810009\n", "510" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "100.152284145\n", "520" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "102.069875002\n", "530" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "104.016078949\n", "540" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "105.971562147\n", "550" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "107.916990995\n", "560" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "109.905498981\n", "570" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "111.841619015\n", "580" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "113.817512989\n", "590" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "115.789940119\n", "600" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "117.727342129\n", "610" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "119.675325155\n", "620" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "121.586244106\n", "630" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "123.54840517\n", "640" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "125.457971096\n", "650" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "127.386712074\n", "660" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "129.343052149\n", "670" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "131.405107975\n", "680" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "133.423280001\n", "690" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "135.472536087\n", "700" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "137.427443981\n", "710" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "139.318254948\n", "720" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "141.243524075\n", "730" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "143.174734116\n", "740" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "145.09325695\n", "750" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "147.038089037\n", "760" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "148.968778133\n", "770" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "150.902760029\n", "780" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "152.856822968\n", "790" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "154.760342121\n", "800" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "156.705861092\n", "810" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "158.650561094\n", "820" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "160.563406944\n", "830" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "162.493993998\n", "840" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "164.417673111\n", "850" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "166.485352039\n", "860" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "168.732316017\n", "870" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "171.028941154\n", "880" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "173.089281082\n", "890" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "175.151801109\n", "900" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "177.224868059\n", "910" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "179.281350136\n", "920" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "181.229735136\n", "930" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "183.18156004\n", "940" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "185.159044981\n", "950" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "187.088528156\n", "960" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "189.093688011\n", "970" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "191.064901114\n", "980" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "193.019813061\n", "990" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "194.962717056\n", "196.718389988" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 49 }, { "cell_type": "code", "collapsed": false, "input": [ "data_two_layer = data" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 51 }, { "cell_type": "code", "collapsed": false, "input": [ "pl.hist(data,bins=50);" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXcAAAD/CAYAAAAKVJb/AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ndwAwEOEOAAYi3AHAQIQ7ABiIcAcAA/0/Id5ONIU9K7YAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x11702ce10>" ] } ], "prompt_number": 54 }, { "cell_type": "code", "collapsed": false, "input": [ "from time import time\n", "t = time()\n", "n = 1000\n", "data = np.zeros(n)\n", "aes = np.empty(n,dtype=object)\n", "for i in range(n):\n", " aes[i] = MLAutoencoder([32,16,8,4,2,4,8,16,32])\n", " data[i] = one_nn_baseline(aes[i].transform(X_pca),Y)\n", " if i % 10 == 0:\n", " print(i)\n", " print(time() - t)\n", "print(time() - t)" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "data_two_layer = data" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "pl.hist(data,bins=50);" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "import numba\n", "\n", "weights = [npr.randn(32,16),npr.randn(16,2)]\n", "\n", "relu = lambda x: x*(x>0)\n", "\n", "def project(X,weight1,weight2,bias1=0,bias2=0,f=sigmoid):\n", " return f(f(X.dot(weight1)+bias1).dot(weight2)+bias2)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 209 }, { "cell_type": "code", "collapsed": false, "input": [ "relu(npr.randn(10))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 211, "text": [ "array([ 0.20238481, 0.61745683, -0. , 1.89809362, 3.02038842,\n", " 0.38837096, 1.99024488, -0. , 0.32938833, 1.09238095])" ] } ], "prompt_number": 211 }, { "cell_type": "code", "collapsed": false, "input": [ "X_relu = project(X_pca,npr.randn(32,16),npr.randn(16,2),npr.randn(),npr.rand(),relu)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 217 }, { "cell_type": "code", "collapsed": false, "input": [ "pl.scatter(X_relu[:,0],X_relu[:,1],c=Y)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 218, "text": [ "<matplotlib.collections.PathCollection at 0x11bfca1d0>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX0AAAD/CAYAAAAddgY2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x11afb8a50>" ] } ], "prompt_number": 218 }, { "cell_type": "code", "collapsed": false, "input": [ "np.sum(X_relu==0)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 225, "text": [ "2075" ] } ], "prompt_number": 225 }, { "cell_type": "code", "collapsed": false, "input": [ "relu_proj = [project(X_pca,npr.randn(32,16),npr.randn(16,2),npr.randn(),npr.rand(),relu) for _ in xrange(10000)]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 229 }, { "cell_type": "code", "collapsed": false, "input": [ "zero = np.array([np.sum(x==0) for x in relu_proj])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 230 }, { "cell_type": "code", "collapsed": false, "input": [ "pl.hist(zero,bins=50);" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX8AAAD/CAYAAAAZg9YLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAHH9JREFUeJzt3WFsU+e9x/GvMW3JbWIJ9eK8mNQMBqKGSdNSUiC0xKlI\n6JxYg5JIq6at0t5stI1aIDQiIWtKtajBaSmtSnWnDakRm6YNQQU2U+nWnLCmEFakFe4aWBSqSohR\nR+MIe0mkBOfcF1l9SZMQJ7Edx+f3kSw5T+zz/H0S/3z8nOec47Asy0JERGxlwVwXICIi6afwFxGx\nIYW/iIgNKfxFRGxI4S8iYkMKfxERG1qYyIMGBwdZu3YtmzdvJhAI0NTUREdHR/z3DQ0NbNq0CYBA\nIEA0GsU0TcrLy/H7/ampXEREZiyh8N+7dy+FhYU4HA4AHA4H7e3t4x7X1dWFYRiEQiFisRgej4eS\nkhJcLldyqxYRkVmZMvyPHDnCo48+ysWLF/n3v/8db29ubua+++4jFotRU1NDTk4OwWCQ4uJiAJxO\nJx6Ph46ODm39i4hkmLuO+X/22Wd0d3ezdetW7jwQuLq6mh07drBr1y7y8vKoqakBoK+vj9zc3Pjj\nXC4X4XA4RaWLiMhM3TX833vvPRYtWkRLSwudnZ2cP3+eN998k1WrVpGTkwNAaWkpH374IQBut5to\nNBp/fiQSIT8/P4Xli4jIjFgJeumll6za2lrLsixr9+7d8fYTJ05YZWVllmVZ1rlz5yyfz2dZlmUN\nDQ1ZK1assG7dujVuWYBuuummm24zuCVLQlM9jx07xl/+8he6urr43e9+x8KFC3nhhRdobm7mt7/9\nLYcOHQJg7dq1lJaW0tDQwPPPP8/rr78+6c5ey7Iy/vbSSy/NeQ2qU3XO5zrnQ43zqc5kSmi2z5NP\nPsmTTz4Z//kHP/jBpI+tra2dfVUiIpJSOshLRMSGFP534fV657qEhKjO5FKdyTMfaoT5U2cyOaxk\nDyQl0qnDkfTxKxGRbJfM7NSWv4iIDSn8RURsKKHZPiLzwVfnnvo6DTGKjKfwlyzz9aCf+ANBxO40\n7CMiYkPa8pd5Z7LhHRFJnMJf5qmJxvEn/lDQvgCR8RT+YgOJf1CI2IXG/EVEbEjhLyJiQxr2kTl3\ntx24GpcXSQ2Fv6TN3WfpaFxeJJ0U/pISkwe9Ql4kEyj8JYVmf7St5vSLpEZC4T84OMjatWvZvHkz\ngUCAmzdvsmfPHpYtW0ZPTw/Nzc243W4AAoEA0WgU0zQpLy/H7/en9AVIttM3BZFUSCj89+7dS2Fh\nYXwrrL6+nrKyMqqqqggGg9TW1tLW1kZXVxeGYRAKhYjFYng8HkpKSia9jq+IiMyNKad6HjlyhEcf\nfZSlS5fG206dOsX69esBKC4uJhQKARAMBikuLgbA6XTi8Xjo6OhIRd0iIjILdw3/zz77jO7ubrZu\n3Trm6vHhcJi8vDwAXC4XpmkSi8Xo6+sjNzc3/nyXy0U4HE5h+SIiMhN3HfZ57733WLRoES0tLXR2\ndjI0NMTBgwdxu91EIhFcLheRSITFixfjdDpxu91Eo9H48yORCPn5+RMuu6mpKX7f6/Xa8hqaIiJ3\nYxgGhmGkZNkJX8O3qamJ/v5+AoEA27dv5/HHH6e6upqTJ09y9OhR3n33Xbq6uti3bx+hUIjh4WFW\nr17NJ598Mm7MX9fwzX6j+4cmmu0z2Q7cVLVP/lj9D8p8k8zsTCj8jx07xttvv83w8DDPPPMMmzdv\npq6ujoKCAnp7e2lpaWHJkiUAtLa2Ypompmni8/morKxM6QuQzKTwF0m+tId/sin8s5/CXyT5kpmd\nOrGbiIgN6QhfkTvoJHNiFwp/kXF0VLFkPw37iIjYkLb8RWZB1weW+UrhLzJrsz97qUi6adhHRMSG\nFP4iIjak8BcRsSGN+Ytt6SphYmcKf7ExzecX+9Kwj4iIDSn8RURsSOEvImJDCn8RERtS+IuI2JBm\n+8isaLqkyPw0ZfhblkVlZSXr1q1jaGiI3t5eDh8+zKuvvkpHR0f8cQ0NDWzatAmAQCBANBrFNE3K\ny8vx+/2pewWSATRlUmS+SWjLf8OGDdTX1wOwZcsWjh07hsPhoL29fdxju7q6MAyDUChELBbD4/FQ\nUlIy7iLuIiIyd6Yc83c4HPHgv337NteuXeOhhx4CoLm5mddee439+/czODgIQDAYpLi4GACn04nH\n4xnzDUFEROZewmP+p0+f5sCBA/j9fgoLC1m0aBFLly4lJyeHd955h5qaGn71q1/R19eHx+OJP8/l\nchEOh1NSvIiIzEzC4V9eXk55eTlPP/0077zzDtu3b4//rrS0lEAgAIDb7SYajcZ/F4lEyM/PH7e8\npqam+H2v14vX651B+SIi2cswDAzDSMmyHdYUlxzq7u7m888/x+fzAaOh3d/fj8PhYP/+/QCcPHmS\nt956i9OnT9PV1cW+ffsIhUIMDw+zevVqPvnkkzFj/g6HQ1c6yhKjs30m2+E70UVOEn1sstqTuezJ\njO9T/9+SCsnMzinD/+rVq+zevZvCwkKGh4e5fPkyBw8e5ODBgwwMDOB2u7l06RKvvPIKy5cvB6C1\ntRXTNDFNE5/PR2VlZcpegMwte4V/4n3q/1tSIa3hnwoK/+yh8J+sz4np/15mI5nZqYO8RFJCxz5I\nZlP4S0J0JK9IdlH4yzRoa1YkW+jEbiIiNqTwFxGxIYW/iIgNKfxFRGxIO3xF0miyWVOa/y/ppvAX\nSSvNmJLMoGEfEREbUviLiNiQwl9ExIYU/iIiNqQdvjZ1t3P1aOaJSPZT+NuaZp6I2JWGfUREbEjh\nLyJiQ1MO+1iWRWVlJevWrWNoaIje3l4OHz7MwMAAe/bsYdmyZfT09NDc3Izb7QYgEAgQjUYxTZPy\n8nL8fn/KX4gkj87dL5L9Ehrz37BhA/X19QBs2bKFY8eOcebMGcrKyqiqqiIYDFJbW0tbWxtdXV0Y\nhkEoFCIWi+HxeCgpKRlzAXfJdNoXIJLtphz2cTgc8eC/ffs2165dY+XKlZw6dYr169cDUFxcTCgU\nAiAYDFJcXAyA0+nE4/HQ0dGRqvpFRGQGEh7zP336NH6/H7/fz8MPP0w4HCYvLw8Al8uFaZrEYjH6\n+vrIzc2NP8/lchEOh5NfuYiIzFjCUz3Ly8spLy/n6aef5tChQ7jdbiKRCC6Xi0gkwuLFi3E6nbjd\nbqLRaPx5kUiE/Pz8cctramqK3/d6vXi93lm9EBGRbGMYBoZhpGTZDmuKI3q6u7v5/PPP8fl8wGho\n9/f309/fT2lpKdXV1Zw8eZKjR4/y7rvv0tXVxb59+wiFQgwPD7N69Wo++eSTMWP+DodDBxLNsdGd\nupON7aeqXX1O1q73gyQimdk5ZfhfvXqV3bt3U1hYyPDwMJcvX+bNN9/knnvuoa6ujoKCAnp7e2lp\naWHJkiUAtLa2Ypompmni8/morKxM2QuQmVH4Z1afej9IItIa/qmg8J97Cv/M6lPvB0lEMrNTB3mJ\niNiQwl9ExIYU/iIiNqTwFxGxIYW/iIgNKfxFRGxIF3OxAZ2lU0S+TuFvGxPNRRcRu9Kwj4iIDSn8\nRURsSOEvImJDGvMXmWcm24Gv8wPJdCj8ReYl7cCX2VH4i2QAbc1Luin8RTLCZKeAFkkN7fAVEbGh\nKbf8e3t7aWxspLCwkGvXrvHAAw/Q2NhIU1MTHR0d8cc1NDSwadMmAAKBANFoFNM0KS8vx+/3p+4V\niIjItE0Z/qZp8tRTT8UDfPXq1VRUVOBwOGhvbx/3+K6uLgzDIBQKEYvF8Hg8lJSUjLmGr4iIzK0p\nw3/NmjVjfh4ZGeH+++8HoLm5mfvuu49YLEZNTQ05OTkEg0GKi4sBcDqdeDweOjo6tPUvIpJBpjXm\nf/z4cZ544glWrlxJdXU1O3bsYNeuXeTl5VFTUwNAX18fubm58ee4XC7C4XByqxYRkVlJOPzb29vp\n6OjgwIEDAKxatYqcnBwASktL+fDDDwFwu91Eo9H48yKRCPn5+cmsWUREZimhqZ6hUIiPPvqIN954\ng+vXr/PFF19w/Phx9u/fD0BPTw/Lly8HoKKign379gEwPDxMd3c3GzduHLfMpqam+H2v14vX653l\nSxERyS6GYWAYRkqW7bCmOIrkwoULeL1eioqKsCyL/v5+nn32Wa5cucLAwABut5tLly7xyiuvxD8A\nWltbMU0T0zTx+XxUVlaO7dTh0MEraTR6ANFER4RONrc8Ve3qc7rtE71PJvt76j2V/ZKZnVOGfyoo\n/NNL4T9/+1T4y52SmZ06wlckg+kqbJIqCv8soqDIRjrtg6SGwj/rKCxEZGo6t4+IiA0p/EVEbEjh\nLyJiQwp/EREbUviLiNiQwl9ExIYU/iIiNqTwFxGxIYW/iIgNKfxFRGxI4S8iYkM6t49IlpjsxH46\n1bNMROEvkjV0Uj9JnIZ9RERsaMot/97eXhobGyksLOTatWs88MADNDY2cvPmTfbs2cOyZcvo6emh\nubkZt9sNQCAQIBqNYpom5eXl+P3+lL8QERFJ3JThb5omTz31VDzAV69eTUVFBb/85S8pKyujqqqK\nYDBIbW0tbW1tdHV1YRgGoVCIWCyGx+OhpKQEl8uV8hcjIiKJmXLYZ82aNWO23EdGRrj//vs5deoU\n69evB6C4uJhQKARAMBikuLgYAKfTicfjoaOjIxW1i4jIDE1rzP/48eM88cQTrFy5knA4TF5eHgAu\nlwvTNInFYvT19ZGbmxt/jsvlIhwOJ7dqERGZlYTDv729nY6ODg4cOACA2+0mGo0CEIlEWLx4MU6n\nc0z7V7/Lz89Pctn25nA4JryJTET/LzKRhKZ6hkIhPvroI9544w2uX7/OF198QUVFBR9//DHV1dV0\ndnZSWVkJQEVFBfv27QNgeHiY7u5uNm7cOG6ZTU1N8fterxev1zv7V2MrmtYnidL/ynxlGAaGYaRk\n2Q5riiNALly4gNfrpaioCMuy6O/v57nnnsPv91NXV0dBQQG9vb20tLSwZMkSAFpbWzFNE9M08fl8\n8Q+GeKcOhw48mYXRrbbJ3tCJtidjGepzPvep9+D8k8zsnDL8U0HhPzsKf/Wp8LenZGanDvISEbEh\nhb+IiA0p/EVEbEjhLyJiQwp/EREbUviLiNiQwl9ExIYU/iIiNqTwFxGxIYW/iIgNKfxFRGxI4S8i\nYkMKfxERG1L4i4jYkMJfRMSGFP4iIjak8BcRsaEpr+F748YN9u7dy8WLFzl//jwwev3djo6O+GMa\nGhrYtGkTAIFAgGg0immalJeX4/f7U1R69tNFtiWVJvv/0hW+7GHK8O/s7GTLli18+umn8TaHw0F7\ne/u4x3Z1dWEYBqFQiFgshsfjoaSkBJfLldyqbUUX35ZU0f+WnU057LNt2zZyc3PHtTc3N/Paa6+x\nf/9+BgcHAQgGgxQXFwPgdDrxeDxjviGIiEhmmNGYf3V1NTt27GDXrl3k5eVRU1MDQF9f35gPCpfL\nRTgcTk6lIiKSNFMO+0xk1apV8fulpaUEAgEA3G430Wg0/rtIJEJ+fv6Ey2hqaorf93q9eL3emZQi\nIpK1DMPAMIzULNxKQHt7u7VmzZr4z7t3747fP3HihFVWVmZZlmWdO3fO8vl8lmVZ1tDQkLVixQrr\n1q1b45aXYLe2wejg6yQ3a4JbMtpTuWz1OZ/7lMyVzL/PlFv+Z86c4ciRI9y4cYPm5mZ27tzJwoUL\neeGFF3C73Vy6dIlDhw4BsHbtWkpLS2loaMA0TV5//XXt7E2YNUGbdr6JSGo4/vNpkt5OHQ7moNuM\nNTrlbrLwT1W7+lSf09vg0Ht27iUzO2c05i8i2UzfQu1AR/iKiNiQwl9ExIYU/iIiNqTwFxGxIYW/\niIgNKfxFRGxI4S8iYkMKfxERG1L4i4jYkMJfRMSGdHoHEUmILvuYXRT+IpIgnfMnm2jYR0TEhrTl\nn0aTfW0WEUk3hX/a6auziMw9DfuIiNjQlFv+N27cYO/evVy8eJHz588DcPPmTfbs2cOyZcvo6emh\nubkZt9sNQCAQIBqNYpom5eXl+P3+1L4CERGZtinDv7Ozky1btvDpp5/G2+rr6ykrK6OqqopgMEht\nbS1tbW10dXVhGAahUIhYLIbH46GkpETX8RURyTBTDvts27aN3NzcMW2nTp1i/fr1ABQXFxMKhQAI\nBoMUFxcD4HQ68Xg8dHR0JLtmERGZpRmN+YfDYfLy8gBwuVyYpkksFqOvr2/MB4XL5SIcDienUhER\nSZoZzfZxu91Eo1FcLheRSITFixfjdDrj7V+JRCLk5+dPuIympqb4fa/Xi9frnUkpIiJZyzAMDMNI\nzcKtBLS3t1tr1qyJ//yzn/3M+v3vf29ZlmWdOHHC+vGPf2xZlmWdO3fO8vl8lmVZ1tDQkLVixQrr\n1q1b45aXYLdZB7DAmuA2F+3qU30mp13SJ5nr2/GfBU7qzJkztLW18f7777N9+3Z27tzJ4OAgdXV1\nFBQU0NvbS0tLC0uWLAGgtbUV0zQxTROfz0dlZeW4ZTocDqbodt6b/ICuiV63Yw7a1af6TE57tr+X\nM0kys3PK8E8F+4R/5rxBM6MW9ZldfY62Z/t7OZMkMzt1kJeIiA3p9A6zpPP1iMh8pPBPism+Jotk\nv4k2gDQUlPkU/iIySxPtf5BMp/BPkIZ3RCSbKPynRcM7IpIdNNtHRMSGFP4iIjakYR8RSbrJ9pFp\nFlDmUPiLSApo/1im07CPiIgNKfxFRGxI4S8iYkMKfxERG1L4i4jYkMJfRMSGFP4iIjY0q3n+69at\nIycnZ3RBCxfywQcfcPPmTfbs2cOyZcvo6emhubkZt9udlGJFRCQ5ZhX+3/ve93jppZfGtNXX11NW\nVkZVVRXBYJDa2lra2tpmVWQ66eydImIHs7qGb1VVFY888giDg4MUFRXh8/l48MEHOXv2LN/4xje4\nefMmK1as4F//+tfYTjP4Gr4TX3sX5vt1VjOjFvWZXX1Ov5ZMfd/PF8nMzllt+dfV1VFUVMTIyAgb\nN24kNzeXcDhMXl4eAC6XC9M0GRkZYcEC7V4QEckUswr/oqIiABYsWMBjjz2GYRi43W4ikQgul4tI\nJMLixYsnDP6mpqb4fa/Xi9frnU0pIiJZxzAMDMNIybJnPOxz5coVOjs7+clPfgKMDgFt3bqVjz76\niMcff5zq6mpOnjzJ0aNHeffdd8d2qmGfDGhXn+pTwz7zTUYM+7hcLkKhENevXycSifDggw/ywx/+\nEJ/PR11dHf/4xz/o7e2ltbU1KYWKyPynUz1njlnt8J1xp9ryz4B29ak+M6mWiWVqTsyVjNjyFxFJ\nHp3/P91sG/6azy8idmbb8B+lrQ0RsSdbhL+28kXmJ+0gTh1bhP+oiXZKiUhm07fzVNFhtyIiNqTw\nFxGxIYW/iIgN2WjMX0SyxUQ7grUTeHoU/iIyD2kCx2xlVfhrSqeISGKyKvxHaWqYiMhUsjD8RcSO\ndEDY9MzL8NfwjoiMp2/90zEvw3+U/tAiIjOlef4iIjaUki3/P/3pTxw/fhy3243D4eDnP//5pI+9\nffs2ly9fnvB3ubm5fPOb30xFiSJiE9oXMAkryfr7+63ly5dbQ0NDlmVZ1rZt26w///nPYx5zZ7c3\nbtywHI4Flsu1esztv/7rQYvRsZ1JbtYEt+m0J/LY9hkuezZ9zqS9fQbLSVUt2dBn+xz0OZP29jno\nc7qvP101Tn8Zd2pvb092FKZEMiM76cM+Z8+epaCggHvuuQeADRs2EAqF7vqcRYv+m0jkf8fcBgb+\n5z+/tSa4pYuRxr5mw5jrArKMMdcFJMiY6wISYMx1AQkxDGOuS0i7pA/7hMNh8vLy4j+7XC7C4XCy\nuxERmZWvDwe9/PLLAIxuYGe/pId/fn4+0Wg0/vOtW7fIz8+/63OGhkxcLv+Yttu3wwwMJLs6EZGv\n3BnyTf+52WfGoMNK8sfcwMAA3/nOd/j73//OvffeS1VVFc8++yylpaX/36nm6YuIzEiyIjvp4Q+j\ns32OHj3KkiVLuPfee2lsbEx2FyIiMgspCX8REclsOshLRMSG0n56h+kcAJZq69atIycnB4CFCxfy\nwQcfcPPmTfbs2cOyZcvo6emhubkZt9sNQCAQIBqNYpom5eXl+P3+uy1+xm7cuMHevXu5ePEi58+f\nB5hRXX/72984dOgQS5cuJRwO09raitPpTGmdTU1NdHR0xB/T0NDApk2b5rTO3t5eGhsbKSws5Nq1\nazzwwAM0NjZm3DqdrM5MWqeWZVFZWcm6desYGhqit7eXw4cPMzAwkFHrcrI6X3311YxZl3caHBxk\n7dq1bN68mUAgkJ7/zaQdMZCARA4AS6empqZxbT/96U+tP/zhD5ZlWdbJkyetH/3oR5ZlWda5c+cs\nn89nWZZl3b5921qxYoV169atlNR19OhR6+TJk9aaNWtmVFckErFGRkasb3/729aXX35pWZZl7dq1\ny/r1r3+d8jonWqdzXedf//pX68SJE/GfV61aZV24cCHj1ulkdWbSOh0ZGbF+8YtfxH/+/ve/b/3m\nN7/JuHU5WZ2ZtC7vtHPnTuvpp5+2du/ebVlWet7vaR32mckBYKl06dIl9u/fz8svv8ypU6cAOHXq\nFOvXrweguLg4Xl8wGKS4uBgAp9OJx+MZswWRTNu2bSM3N3dM23TqMgyDq1evMjg4GN9aSMW6nqhO\ngObmZl577TX279/P4ODgnNe5Zs2aMd/SRkZGuP/++zNunU5WJ2TOOnU4HNTX1wOjp2a5du0aK1eu\nzLh1OVGdDz30EJA56/IrR44c4dFHH2Xp0qXxtnSsz7SGf6YdAFZXV8eLL75IY2Mjzc3NnDlzZkyN\nLpcL0zSJxWL09fWNCbp01z7duvr6+sas67y8vLTUW11dzY4dO9i1axd5eXnU1NQAZEydx48f54kn\nnmDlypUZvU7vrDMT1+np06fx+/34/X4efvjhjF2Xd9ZZWFiYcevys88+o7u7m61bt2JZVnwaZzrW\nZ1rDfyYHgKVSUVERAAsWLOCxxx7DMAzcbjeRSASASCTC4sWLcTqduN3uMbVHIpG01n5n/4nUNVH7\nV1sFqbRq1ar4fpTS0lI+/PDDcfXPVZ3t7e10dHRw4MCBcTVl0jr9ep2ZuE7Ly8v54x//yNWrVzl0\n6NC03zfpWpd31vnOO+9k3Lp87733WLRoES0tLXR2dnL+/HkOHjyYlvWZ1vBft24dX3zxBUNDQwB8\n/PHHVFRUpLOEuCtXrnD48OH4zz09PXzrW9+ioqKCs2fPAtDZ2UllZSXAmPbh4WG6u7vZuHFj2uqt\nqKjg448/TriupUuXkpOTw5dffjnuOan04osvxu/39PSwfPnyjKgzFApx+vRp3njjDa5fv87Zs2cz\ncp1OVGcmrdPu7u74ECnA0qVL+fzzz6msrJzW+ybV63KiOq9evZpR6xKgvr6exsZG6urq2LBhA488\n8gjPP//8tHNoJnWmfZ5/phwA9s9//pPnnnuO7373u0QiEW7fvs3rr7+OaZrU1dVRUFBAb28vLS0t\nLFmyBIDW1lZM08Q0TXw+X8rC9MyZM7S1tfH++++zfft2du7cyeDg4LTr+vTTT3nrrbcoKCjANE1a\nW1tZsCB5n/cT1blv3z4GBgZwu91cunSJV155Jf4Gm6s6L1y4gNfrpaioCMuy6O/v57nnnsPv92fU\nOp2ozmeffZYrV65kzDq9evUqu3fvprCwkOHhYS5fvsybb77JPffck1HrcqI6Dx48yMGDBzNmXd7p\n2LFjvP322wwPD/PMM8+wefPmlK9PHeQlImJDOshLRMSGFP4iIjak8BcRsSGFv4iIDSn8RURsSOEv\nImJDCn8RERtS+IuI2ND/AftySpym6LqbAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x117065e90>" ] } ], "prompt_number": 231 }, { "cell_type": "code", "collapsed": false, "input": [ "np.argmin(zero)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 232, "text": [ "6331" ] } ], "prompt_number": 232 }, { "cell_type": "code", "collapsed": false, "input": [ "zero[np.argmin(zero)]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 235, "text": [ "49" ] } ], "prompt_number": 235 }, { "cell_type": "code", "collapsed": false, "input": [ "X_relu = relu_proj[np.argmin(zero)]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 233 }, { "cell_type": "code", "collapsed": false, "input": [ "pl.scatter(X_relu[:,0],X_relu[:,1],c=Y)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 234, "text": [ "<matplotlib.collections.PathCollection at 0x123803210>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYMAAAD/CAYAAAAT87ocAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nysDExMTEBPh/yX89FsJ29DIAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x12347bd50>" ] } ], "prompt_number": 234 }, { "cell_type": "code", "collapsed": false, "input": [ "one_nn_baseline(X_relu,Y)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 236, "text": [ "0.20812465219810797" ] } ], "prompt_number": 236 }, { "cell_type": "code", "collapsed": false, "input": [ "X_pca.dot(weights[0]).shape" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 60, "text": [ "(1797, 16)" ] } ], "prompt_number": 60 }, { "cell_type": "code", "collapsed": false, "input": [ "%timeit project(X_pca,npr.randn(32,16),npr.randn(16,2))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "1000 loops, best of 3: 529 \u00b5s per loop\n" ] } ], "prompt_number": 61 }, { "cell_type": "code", "collapsed": false, "input": [ "t = time()\n", "data = np.array([project(X_pca,npr.randn(32,16),npr.randn(16,2),*npr.randn(2)) for _ in range(10000)])\n", "print(time() - t)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "16.4821131229\n" ] } ], "prompt_number": 137 }, { "cell_type": "code", "collapsed": false, "input": [ "raw_data = data\n", "raw_data.shape" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 138, "text": [ "(10000, 1797, 2)" ] } ], "prompt_number": 138 }, { "cell_type": "code", "collapsed": false, "input": [ "raw_data.shape" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 139, "text": [ "(10000, 1797, 2)" ] } ], "prompt_number": 139 }, { "cell_type": "code", "collapsed": false, "input": [ "%timeit np.array([j for j in range(raw_data.shape[1]) if npr.rand() < 0.01])" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "1000 loops, best of 3: 303 \u00b5s per loop\n" ] } ], "prompt_number": 128 }, { "cell_type": "code", "collapsed": false, "input": [ "sample = np.array([j for j in range(raw_data.shape[1]) if npr.rand() < 0.1])\n", "sample" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 130, "text": [ "array([ 4, 45, 76, 79, 85, 109, 111, 124, 126, 134, 163,\n", " 168, 190, 207, 229, 237, 245, 250, 261, 294, 296, 298,\n", " 303, 304, 331, 335, 348, 351, 352, 358, 362, 373, 380,\n", " 381, 382, 385, 388, 428, 435, 437, 442, 473, 475, 476,\n", " 512, 523, 525, 543, 554, 559, 570, 574, 579, 583, 590,\n", " 592, 594, 602, 615, 619, 624, 630, 636, 643, 645, 664,\n", " 670, 675, 700, 701, 734, 735, 737, 738, 756, 765, 770,\n", " 774, 784, 806, 876, 884, 899, 905, 912, 914, 919, 935,\n", " 937, 947, 956, 959, 967, 978, 986, 990, 991, 1009, 1019,\n", " 1023, 1038, 1056, 1082, 1094, 1102, 1106, 1107, 1108, 1113, 1116,\n", " 1119, 1138, 1145, 1148, 1150, 1155, 1161, 1176, 1191, 1196, 1244,\n", " 1246, 1268, 1286, 1299, 1301, 1321, 1342, 1359, 1371, 1387, 1400,\n", " 1412, 1413, 1415, 1423, 1429, 1437, 1445, 1454, 1467, 1472, 1476,\n", " 1498, 1500, 1513, 1520, 1531, 1552, 1558, 1560, 1564, 1566, 1582,\n", " 1604, 1621, 1623, 1624, 1628, 1634, 1649, 1654, 1656, 1658, 1670,\n", " 1673, 1675, 1693, 1695, 1721, 1723, 1727, 1743, 1753, 1757, 1760,\n", " 1762, 1764, 1772, 1785, 1792, 1793])" ] } ], "prompt_number": 130 }, { "cell_type": "code", "collapsed": false, "input": [ "%timeit one_nn_baseline(raw_data[i][sample],Y[sample])" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "100 loops, best of 3: 16.5 ms per loop\n" ] } ], "prompt_number": 131 }, { "cell_type": "code", "collapsed": false, "input": [ "t = time()\n", "data = np.zeros(len(raw_data))\n", "\n", "for i in range(len(data)):\n", " sample = np.array([j for j in range(raw_data.shape[1]) if npr.rand() < 0.1])\n", " data[i] = one_nn_baseline(raw_data[i][sample],Y[sample])\n", " if i % 100 == 0:\n", " print(i)\n", "print(time()-t)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0\n", "100" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "200" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "300" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "400" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "500" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "600" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "700" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "800" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "900" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1100" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1200" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1300" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1400" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1500" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1600" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1700" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1800" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1900" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2100" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2200" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2300" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2400" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2500" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2600" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2700" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2800" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2900" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3100" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3200" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3300" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3400" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3500" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3600" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3700" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3800" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3900" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "4000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "4100" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "4200" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "4300" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "4400" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "4500" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "4600" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "4700" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "4800" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "4900" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "5000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "5100" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "5200" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "5300" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "5400" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "5500" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "5600" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "5700" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "5800" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "5900" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "6000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "6100" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "6200" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "6300" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "6400" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "6500" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "6600" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "6700" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "6800" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "6900" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "7000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "7100" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "7200" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "7300" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "7400" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "7500" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "7600" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "7700" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "7800" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "7900" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "8000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "8100" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "8200" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "8300" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "8400" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "8500" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "8600" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "8700" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "8800" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "8900" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "9000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "9100" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "9200" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "9300" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "9400" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "9500" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "9600" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "9700" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "9800" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "9900" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "174.296450138" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 140 }, { "cell_type": "code", "collapsed": false, "input": [ "pl.hist(data*100,bins=100,normed=True);" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXwAAAD/CAYAAADytG0IAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x1174f0590>" ] } ], "prompt_number": 142 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "from sklearn.neighbors import KernelDensity\n", "kde = KernelDensity()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 164 }, { "cell_type": "code", "collapsed": false, "input": [ "kde.fit(np.reshape(data*100,(len(data),1)))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 159, "text": [ "KernelDensity(algorithm='auto', atol=0, bandwidth=1.0, breadth_first=True,\n", " kernel='gaussian', leaf_size=40, metric='euclidean',\n", " metric_params=None, rtol=0)" ] } ], "prompt_number": 159 }, { "cell_type": "code", "collapsed": false, "input": [ "data.shape" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 160, "text": [ "(10000,)" ] } ], "prompt_number": 160 }, { "cell_type": "code", "collapsed": false, "input": [ "rdata = np.reshape(data*100,(len(data),1))\n", "rdata.shape" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 165, "text": [ "(10000, 1)" ] } ], "prompt_number": 165 }, { "cell_type": "code", "collapsed": false, "input": [ "kde = KernelDensity(0.1)\n", "kde.fit(rdata)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 173, "text": [ "KernelDensity(algorithm='auto', atol=0, bandwidth=0.1, breadth_first=True,\n", " kernel='gaussian', leaf_size=40, metric='euclidean',\n", " metric_params=None, rtol=0)" ] } ], "prompt_number": 173 }, { "cell_type": "code", "collapsed": false, "input": [ "kde.score_samples(rdata)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 174, "text": [ "array([-2.66317798, -2.44164569, -2.60862005, ..., -3.92904209,\n", " -2.65994247, -4.40523765])" ] } ], "prompt_number": 174 }, { "cell_type": "code", "collapsed": false, "input": [ "smoothed_density = kde.score_samples(np.reshape(np.arange(0,50,0.5),(100,1)))" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 175 }, { "cell_type": "code", "collapsed": false, "input": [ "pl.plot(smoothed_density)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 176, "text": [ "[<matplotlib.lines.Line2D at 0x11704f090>]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYkAAAD/CAYAAAAE0SrVAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nISEiIr1SSIiISK8UEiIi0qv/D62u+zE0AT17AAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x10d0a6610>" ] } ], "prompt_number": 176 }, { "cell_type": "code", "collapsed": false, "input": [ "def density(input_points,output_points,bandwidth=0.1):\n", " output = np.zeros(len(output_points))\n", " for i in range(len(output_points)):\n", " for j in range(len(input_points)):\n", " output[i] += np.exp(-np.sqrt((output_points[i] - input_points[j])**2)/(2*bandwidth))\n", " return output" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 193 }, { "cell_type": "code", "collapsed": false, "input": [ "pl.plot(density(np.arange(10),np.arange(0,20,0.1),bandwidth=0.05))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 202, "text": [ "[<matplotlib.lines.Line2D at 0x11772d850>]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXgAAAD/CAYAAAD7X81yAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nCCGaQoMnhBBNocETQoim0OAJIURT/h/GsWVbvz6T5QAAAABJRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x11427d7d0>" ] } ], "prompt_number": 202 }, { "cell_type": "code", "collapsed": false, "input": [ "kde.fit(np.arange(0,10,1))\n", "kde.score_samples(np.arange(0,10,0.1))" ], "language": "python", "metadata": {}, "outputs": [ { "ename": "ValueError", "evalue": "query data dimension must match training data dimension", "output_type": "pyerr", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m\n\u001b[0;31mValueError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-240-b3524308b6c6>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[0mkde\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mfit\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mnp\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0marange\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;36m0\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;36m10\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;36m1\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 2\u001b[0;31m \u001b[0mkde\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mscore_samples\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mnp\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0marange\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;36m0\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;36m10\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;36m0.1\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;32m/Users/joshuafass/Library/Enthought/Canopy_64bit/User/lib/python2.7/site-packages/sklearn/neighbors/kde.pyc\u001b[0m in \u001b[0;36mscore_samples\u001b[0;34m(self, X)\u001b[0m\n\u001b[1;32m 153\u001b[0m log_density = self.tree_.kernel_density(\n\u001b[1;32m 154\u001b[0m \u001b[0mX\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mh\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mbandwidth\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mkernel\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mkernel\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0matol\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0matol_N\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 155\u001b[0;31m rtol=self.rtol, breadth_first=self.breadth_first, return_log=True)\n\u001b[0m\u001b[1;32m 156\u001b[0m \u001b[0mlog_density\u001b[0m \u001b[0;34m-=\u001b[0m \u001b[0mnp\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mlog\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mN\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 157\u001b[0m \u001b[0;32mreturn\u001b[0m \u001b[0mlog_density\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/joshuafass/Library/Enthought/Canopy_64bit/User/lib/python2.7/site-packages/sklearn/neighbors/kd_tree.so\u001b[0m in \u001b[0;36msklearn.neighbors.kd_tree.BinaryTree.kernel_density (sklearn/neighbors/kd_tree.c:12787)\u001b[0;34m()\u001b[0m\n", "\u001b[0;31mValueError\u001b[0m: query data dimension must match training data dimension" ] } ], "prompt_number": 240 }, { "cell_type": "code", "collapsed": false, "input": [ "np.arange(0,50,0.5).shape" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 150, "text": [ "(100,)" ] } ], "prompt_number": 150 }, { "cell_type": "code", "collapsed": false, "input": [ "from scipy.spatial.distance import pdist" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 72 }, { "cell_type": "code", "collapsed": false, "input": [ "pdist(raw_data[0]).shape" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 79, "text": [ "(1613706,)" ] } ], "prompt_number": 79 }, { "cell_type": "code", "collapsed": false, "input": [ "pdist(X_pca).shape" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 74, "text": [ "(1613706,)" ] } ], "prompt_number": 74 }, { "cell_type": "code", "collapsed": false, "input": [ "pl.scatter(pdist(X_pca),pdist(raw_data[0]))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 76, "text": [ "<matplotlib.collections.PathCollection at 0x10d33ce50>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX0AAAD/CAYAAAAddgY2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTD+nnHLKaT+i/w94z/CXh1z9hQAAAABJRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x116fcaad0>" ] } ], "prompt_number": 76 }, { "cell_type": "code", "collapsed": false, "input": [ "from sklearn.linear_model import LinearRegression" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 77 }, { "cell_type": "code", "collapsed": false, "input": [ "lr = LinearRegression()\n", "len_pdist = len(pdist(X_pca))\n", "\n", "lr.fit(pdist(raw_data[0]).reshape((len_pdist,1)),pdist(X_pca))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 84, "text": [ "LinearRegression(copy_X=True, fit_intercept=True, normalize=False)" ] } ], "prompt_number": 84 }, { "cell_type": "code", "collapsed": false, "input": [ "pred = pdist(raw_data[0]).reshape((len_pdist,1))\n", "the_true_true = pdist(X_pca)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 86 }, { "cell_type": "code", "collapsed": false, "input": [ "%timeit lr.fit(npr.randn(10).reshape((10,1)),npr.randn(10))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "10000 loops, best of 3: 171 \u00b5s per loop\n" ] } ], "prompt_number": 85 }, { "cell_type": "code", "collapsed": false, "input": [ "lr.fit(pred.reshape((len_pdist,1)),the_true_true)\n", "lr.score(pred.reshape((len_pdist,1)),the_true_true)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 89, "text": [ "0.080704223334626679" ] } ], "prompt_number": 89 }, { "cell_type": "code", "collapsed": false, "input": [ "%%timeit\n", "pred = pdist(raw_data[i]).reshape((len_pdist,1))\n", "lr.fit(pred.reshape((len_pdist,1)),the_true_true)\n", "data[i] = lr.score(pred.reshape((len_pdist,1)),the_true_true)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "10 loops, best of 3: 113 ms per loop\n" ] } ], "prompt_number": 92 }, { "cell_type": "code", "collapsed": false, "input": [ "sample_size = 100\n", "data = np.zeros(sample_size)\n", "\n", "for i in range(sample_size):\n", " pred = pdist(raw_data[i]).reshape((len_pdist,1))\n", " lr.fit(pred.reshape((len_pdist,1)),the_true_true)\n", " data[i] = lr.score(pred.reshape((len_pdist,1)),the_true_true)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 93 }, { "cell_type": "code", "collapsed": false, "input": [ "pl.hist(data,bins=50);" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXEAAAD/CAYAAAAHSua4AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x10d3c59d0>" ] } ], "prompt_number": 94 }, { "cell_type": "code", "collapsed": false, "input": [ "from numba import jit, autojit, double, float64, float32, void, int32" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 95 }, { "cell_type": "code", "collapsed": false, "input": [ "project_jit = jit(double[:,:](double[:,:],double[:,:],double[:,:]))(project)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 96 }, { "cell_type": "code", "collapsed": false, "input": [ "%timeit project_jit(X_pca,npr.randn(32,16),npr.randn(16,2))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "1000 loops, best of 3: 519 \u00b5s per loop\n" ] } ], "prompt_number": 99 }, { "cell_type": "code", "collapsed": false, "input": [ "ae_7 = MLAutoencoder([32,16,2,16,32])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 109 }, { "cell_type": "code", "collapsed": false, "input": [ "%timeit ae_7.transform(X_pca)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "10 loops, best of 3: 31 ms per loop\n" ] } ], "prompt_number": 111 }, { "cell_type": "code", "collapsed": false, "input": [ "class MLAutoencoder():\n", " def __init__(self,layer_dims=[32,16,8,4,2,4,8,16,32]):\n", " self.layer_dims = layer_dims\n", " self.W = []\n", " for i in range(1,len(layer_dims)):\n", " self.W.append(npr.randn(layer_dims[i-1],layer_dims[i]))\n", " self.num_params = sum([np.prod(w.shape) for w in self.W])\n", " self.bottleneck = np.argmin(np.array(layer_dims))\n", " \n", " def mats_to_vec(self,W):\n", " w_vecs = []\n", " for w in W:\n", " w_vecs.append(np.reshape(w,np.prod(w.shape)))\n", " return np.hstack(w_vecs)\n", " \n", " def vec_to_mats(self,w_vecs):\n", " ind = 0\n", " W = []\n", " for i in range(len(self.W)):\n", " size = np.prod(self.W[i].shape)\n", " W.append(np.reshape(w_vecs[ind:ind+size],self.W[i].shape))\n", " ind += size\n", " return W\n", " \n", " def predict(self,X):\n", " def predict_one(x):\n", " L = x\n", " for w in self.W:\n", " L = sigmoid(L.dot(w))\n", " return L\n", " \n", " if len(X.shape) > 1:\n", " y = np.zeros(X.shape)\n", " for i,x in enumerate(X):\n", " y[i] = predict_one(x)\n", " else:\n", " y = predict_one(X)\n", " return y\n", "\n", " def transform(self,X):\n", " def transform_one(x):\n", " L = x\n", " for i in range(self.bottleneck):\n", " L = sigmoid(L.dot(self.W[i]))\n", " return L\n", " \n", " if len(X.shape) > 1:\n", " y = np.zeros((len(X),self.layer_dims[self.bottleneck]))\n", " for i,x in enumerate(X):\n", " y[i] = transform_one(x)\n", " else:\n", " y = transform_one(X)\n", " return y\n", " \n", " def loss(self,y,y_pred):\n", " return sum(abs(y-y_pred))\n", " \n", " def score(self,X):\n", " X_pred = self.predict(X)\n", " assert(X_pred.shape == X.shape)\n", " \n", " return sum([self.loss(pred,truth) for (pred,truth) in zip(X_pred, X)]) \n", " \n", " def gradient(self,func,x0,h=0.0001):\n", " x0 = np.array(x0)#,dtype=float)\n", " y = func(x0)\n", " deriv = np.zeros(len(x0))\n", " for i in range(len(x0)):\n", " x = np.array(x0)\n", " x[i] += h\n", " deriv[i] = (func(x) - y)/h\n", " return deriv\n", " \n", " def vec_score(self,w,X):\n", " self.W = self.vec_to_mats(w)\n", " return self.score(X)\n", "\n", " def train(self,X_,batch_size=20,epochs=10,learning_rate=0.1):\n", " def report(counter,epoch):\n", " status = \"Epoch {1} loss: {0:.3f}\".format(self.score(X_),epoch)\n", " print(status)\n", " X_r = self.transform(X_)\n", " pl.scatter(X_r[:,0],X_r[:,1],c=y,linewidth=0)\n", " pl.xlim((0,1))\n", " pl.ylim((0,1))\n", " pl.title(status)\n", " pl.savefig('Code/autoencoder/take7/{0}.jpg'.format(counter))\n", " pl.close()\n", " \n", " n = len(X_) /batch_size\n", " w = self.mats_to_vec(self.W)\n", " \n", " X = X_.copy()\n", " \n", " counter = 0\n", " report(counter,0)\n", " counter += 1\n", " \n", " start_cooling = 100\n", " delta = learning_rate / (epochs - start_cooling)\n", " \n", " for t in range(epochs):\n", " npr.shuffle(X)\n", " \n", " if t > start_cooling:\n", " #learning_rate -= delta\n", " learning_rate *= 0.95\n", " \n", " for i in range(n):\n", " batch_X = X[i*batch_size:(i+1)*batch_size]\n", " loss_func = lambda w : self.vec_score(w,batch_X)\n", " w -= learning_rate * self.gradient(loss_func,w)\n", " report(counter,t+1)\n", " counter +=1" ], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
mit
zuphilip/ocropy
doc/line-normalization.ipynb
4
53131
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Line Normalization (dewarping)\n", "\n", "( These notes are based on: https://github.com/tmbdev/ocropy/blob/758e023f808d88e5995af54034c155621eb087b2/OLD/normalization-api.ipynb from 2014 )\n", "\n", "The line normalization is performed before the actual text recognition and before the actual training. Therefore, the same line normalization should be used in the recognition as it is used in the training. The line normalization tries to dewarp the line image and normalize its height. Previously different methods were explored, but nowadays the default method should work well. This notes will give some background information." ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Populating the interactive namespace from numpy and matplotlib\n" ] } ], "source": [ "%pylab inline\n", "from pylab import imshow\n", "from scipy.ndimage import filters,interpolation\n", "import ocrolib\n", "from ocrolib import lineest\n", "\n", "#Configure the size of the inline figures\n", "figsize(8,8)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Generate distorted image\n", "\n", "First, we generate a distorted image from an example line. " ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(200, 1400)\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfAAAABhCAYAAAAp4fO0AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAGhtJREFUeJztnXuQFdWdx78/GXlODQP4oNZhEDGiq8XMkFrDLlriTmQH\n3SChEgFrS/OQUDtBDVqKZquMf2Udo6LUbHxvlqxkJiqIbqEMWFAx2VKTHRgk4SFKxiEaER2GyEOE\n+Ns/uk9zbt/uvn1nuufOnfv9VP3q9j19Hr/z6P71OX36HFFVEEIIIaS4OK3QChBCCCEkf2jACSGE\nkCKEBpwQQggpQmjACSGEkCKEBpwQQggpQmjACSGEkCIkNQMuIg0isktE3haRZWmlQwghhJQiksZ3\n4CJyGoC3AdQD+ADA7wAsUNVdiSdGCCGElCBp9cAvBbBHVd9T1RMAWgFcm1JahBBCSMmRlgE/B8A+\n6/+fXDdCCCGEJAAnsRFCCCFFSFlK8b4PoNr6X+W6eYgIF2EnhBBScqiqJBFPWj3w3wE4X0QmishQ\nAAsAvJRSWoQQQkjJkUoPXFX/KiJLAGyA85DwtKruTCMtQgghpBRJ5TOyWAlzCJ0QQkgJMtCH0Akh\nhBCSIjTghBBCSBFCA04IIYQUITTghBBCSBFCA04IIYQUITTghBBCSBFCA04IIYQUIX0y4CLSKSLb\nRGSriPzWdRsjIhtEZLeItInI6GRUJYQQMlBRVagqKioqCq1KydDXHvgXAGaqap2qXuq63QXgVVWd\nAmATgLv7mAYhhPQbPT09njF68cUX8w4/fPjwFLTqPSYvRtJKwzBixIhU0iDZ9NWAS0Ac1wJY6R6v\nBDC3j2kQQgYYVVVV2L59e6HVSJ05c+bkHebDDz9Ed3d3Ctr0DhHBI488kmoa+/ad2j362LFjqaZF\nTtGnpVRFZC+AbgAK4HFVfUpEDqrqGMtPt6qODQjLpVTJoKWmpiby/LZt2/pJk3Sw7xsiiawKOaCo\nrKzEwYMHAeSfP1XFwYMHMXZs1m2voJg6S6u+li9fjpkzZ6Kuri6V+AcTSS2l2tfNTGao6p9F5EwA\nG0RkNxxjbkNDTUqKnp4ejB4dPfVjMBo9UtosXbq00CqUHH0aQlfVP7u/BwCsBXApgP0icjYAiMh4\nAB/1VUlCionKykqUl5ejtbU1w721tRVNTU2Dzng/9NBDhVYhcXp6evIOc9lll6X2jjlJikFHm/nz\n52P+/PmFVmNg4p/gEFcAjARQ7h6PAvC/AGYBaAKwzHVfBuC+kPBKGbhSWVmphsbGRp06dWrBdSpG\nsSm0Lmnl66GHHiq4PgB09uzZ2tjYqEOHDs0rD/mcb2xs1MbGxkD/27Zti1Xf9nUVFp99Lm7bMdx+\n++056wyA1tbWxmqbfvz61tTUaGNjo5f/ioqK0LDmPmIzY8aMwHRN3oPCh9W/4ciRIwVvjznKtNe2\n15a+GPBJADoAbAWwHcBdrvtYAK8C2A1nP/DKkPAFL8RikXvuuUfvueeefk1PVfXee+/Ve++91zQ4\n70LPdcFTTonh5ZdfLrguSefJJsq/ab/+NpxvOwqLx5yLq5PBtG9VDYzTH1dU3FEE6RgVX09PT8Y5\no6NqtgGz45wyZUpG+Fw6jh8/PiNuE96WlpaWyDwB0B/84AcZ52wDbsfvDx8WH5DZgQCgDQ0NkXUF\nQNeuXRsZ50ASLbQB73PCA6AQwxqgv/JXrFiRJWnrNGLEiNCGn3LDUlXV7u7uDPfLL7+833UZDNKf\n5bVo0SJdsWKFDh8+XAGk1k5VVZcsWaL33XdfzrzNnTs3owyCemBbt27NCNPU1BTY9sPK0ly/jz/+\nuC5ZskSXLFni+bvpppsy/HZ0dISGnzNnTmjd3XLLLQpAFyxY4Lndeeednl87TVvHNWvWZMTnN8Am\nnF1Xn332WVYP8uGHH84KH9WDDnLPVX7+/Nt1HaTzli1bAuO3DXhU2meccYbn5m+rhquvvjpnvoxc\nc8013nn2wAtgwMMamC1mmCTpAl24cKHmQz9WdL+laz/BxtGn0BdBMYhh5cqVvY5j1apVXjzr1q3L\nOt/Q0BDaTm0DkoTYBtA2IFHtIeja8pdPVDuzy88fhymbhQsXBob35z8oPfsBPkyHIHe/AbPP+R+A\nzbmg+gsqk7DwQQY86BVGPvkx+f/Vr36VM46oc+b+EWXA/Q8wQTrZIxBh8axatSq0vfX09CTa5tMQ\nHWwG3Oaqq65SVdVDhw5FFUBaBesRdIEa3cIaWBoSdoNJM/999UPJbk/5hp08ebKGkas+7HaaRp56\nkz+DfYM/66yzQsMHPSD4/5sevukhB6VnDJwxMHPnzg3125cebq5z9gNyW1tbVn35w/vvfyZ8nLI3\n2PFGPaAH5d/Q2dkZ6j/OA1KUrvYIjXFra2vLO55iE03Ijg6ItdCdunCoqanBxo0bISKoqKiAquK2\n227LCjNz5syMcElhf597/fXXZ53fuHFjxv81a9YkrkOhiFuehw4dSlmTbNrb23HFFVdk/G9vb8cD\nDzwQ6N9u5EGzpO3zJq729nbvfxrkuyjIO++8A8D55MwWADhx4kSG31tvvTXjv7+dpsXXv/517/jB\nBx/MO/xHH8X7SMXkW0Qwbdo07zv7F154Ie80N23alOXW0tICANizZ0/e8dl0dHTE8jdr1qyMNmjX\nl8mruf+ZNjlhwgRs2bIlL32SaAcTJ04EcOqa6c0M/XyYNWuWd6yq2L9/v3dtEh9JPQnkKwh4AvM/\nVUUNEZlJDmkNEUY94dlDPEmnHyT90QM379jipDNhwoQ+61JRUaGdnZ0ZaXZ2dupFF10U9LSaQVT5\nv/zyy6qqWl1d7YkhqJfjz3PcMogrNkHvGMPELpuw9udP44knnsgov9NPP12rq6sTbSeqqu+99573\nP+r6DSsL/xBrWNg4s6TD0rYx94+w9MOkNz3wXPePMD755JNY/ux4w/IeJr3tgRv/Z555ZsZ1VV1d\nrWeeeWascgnTNagHbl+zQe23p6cn8BVDMYkOph54LoIWCDBPgV/72tf6W50BQWVlZcHS3rdvn7dK\nlR+7cZ08eRKHDx/OcDt8+DAApxdvnuwNEydOxI4dO0LTnT9/fs5vqGfPng0A6Orq8sRgr9Hsj+eO\nO+4AUPgV0qZOnQoAWWUThPp6JIsWLcKOHTs894svvjgj//6wQXLJJZfkTLe6utrz7+8BxwmfBqb+\nDCLirQgWNIJXKEQEo0aNynIfO3ZsRn3a+i9btizDr7mG+ovly5cDAA4cOJBxXXV1deHAgQOJp2e3\n2aD2W1lZiaFDhyaebjEyoAy4/6ZqD0f5b1aGsrKy1IZWhg0bFuhur7I1b968jHPDhw/PuikGbW5Q\nWVkZeAM1N/AwVBVHjx7FBx98gKNHj/Zqs4XeYoaAVRVjxoyBqmLu3LkZ5f/mm296x0OGDEF5eXnG\nA9ioUaMyhuDMsLC9VnNQfR47dgzPPvtslrtd/iacvx2Z9KPK6vPPPwcAfPbZZ156/Y2qZj1AxFn0\nJUhXVcXWrVsDyzLqejnttPBbwtq1a73jgwcPQkQyhtABYOTIkTn1TQNTfzYdHR0QEe8VSpxrJY0H\nuCFDhgBwys9cv0GvRQzmXmD0v//++yEieO655wDAewCI00b7cm+MsyRqoV4hBj0EAU6dm/IrBQaU\nAfdTW1sbeT7tFa1ef/31jP/jxo1DVVWV999ewB8A2travIvK1i3oQjM92CeffBIigk8//RRAvBvI\nyJEjPZkzZ07qjfW73/0uurq60NzcnJXWqlWrMsph+vTpWeEffvjh0LifeOKJnOkvX748wzCYByi7\njO007DoCMkcrcpXV9OnTISIFM0Rx8I++jBw50jME+/bty2qX/jkAvZ3DYEYwRMRb53vt2rUZ9WA/\nwPUH/gcIP1VVVbjpppsAAB9//DGA6PzneoD2M27cuJx+br755oz/Qdtt2g+wpv7OPvvsDD/XXXdd\nxn+7jd5yyy1ZcTY0NITqFGezFdOBWrp0adY1BURfS1HnwrYbjZtG1Dt4ew+CUthsJ8676qcB7Afw\nluU2Bs4iLbsBtAEYbZ1bAWAPnEVeaiPizXoH4n8/EuczFcMZZ5yRyLsJ+x1WFFG6mPNTpkzx/tfU\n1OR8VxQWv/0O3P/NrCm/pqamPuU7n3fgccvB/y2m0f3Xv/61N4chn/QnTZrknfe/A/OHjyJuPpIQ\nm1zvwG1donTzf2ajGm8WdlJ5yZVPf1v3+wl7B+6P277+w+K88sorQ/UKmkNjuOSSSwL127lzp/d/\n/vz5gXHnmgNj2Llzp9bU1Hjh7XfQ/vbrTycoXdP+7fIz+W9qatLNmzdHlqeNPcM9CPuaNdjtN1f8\ndln+5Cc/yXA3K68FEfYOvqamxitL1exP3oz4799pXNMJXUuJvAOPY8AvA1CLTAPeBOBO99hbLhXA\nbADr3OOvAHgjIl4FoDNmzAgt8HwMeNANrDcS1ADmzZunGzdu9NyCbsS2AQlr1FEX7AUXXBDqN2oS\nW9AkECPl5eVaX1+v9fX1CkCnTp2q9fX1OmTIkEj9w8o6V57854M+wzNSVlaWoVuc9KMm4QSF37hx\nY5bkk4+ELlSPKAPubz9RugUZcNXsb2zLy8sTyZ+ZtGgIaj+rV6+O3S4qKiq8eq+vr88IV15e7vlf\nvHhxrPKzMW3Kjtfv/8SJExpGUJwG00k4fPhw1rkJEyaE6uT/jE1VdfPmzRl+du3aFRo+TMdc/h99\n9NGc8YWds+P23/9UNfJaiht/0PV53nnneXFOnjw5K13V6EmIjz32WEaYtK7rBO4L/WPAnTLARGQa\n8F0AznaPxwPY6R4/BmC+5W+n8RcQZ2ADCHsCC6sMQxoG3G+Aci108tprr2lLS4v3314OMFdjijJg\nvTXgUeSTflS8UeejDLjBv1JVnHiff/55z+2cc87J0j9uXdvLRCbRdnKVVZQBD8q7oba2NsPvkSNH\n9Pjx44Fh4y70EVfsXqiN3YMMY+/evQpkP5xGEbRSm58gPW+44YYsf1Htz79EqH3dmvS7u7uz3O1z\nudqWEeN2++23B6aTqw5mz56dFVdQ/r/85S+n1o4LLS0tLXnlL6qtDATRAhvwbt/5bvf3fwD8g+X+\nKoBpIXFmZOgb3/iGNjc3q6qzWH5zc7O2trbmvHBznc9Xogz49773Pe9cnM8YokYQhg0bpo2NjdrQ\n0ODlP8xvfxjwfMoybjxhN1C7B7lo0aLAeBsbG/W6667Lmab5n08PPkjXFC/USL2CNmww56qqqrIr\nziXKgNqbYKiqzps3LzH9DceOHVPg1Gd7hqClSsPKu7m52XOfOXNmhr/m5mZP0qobyuAV1egHuEKL\nDjAD/on20YAHST5D6FE3jXwkyoDb6QUZ8KB3ZmH6GeL0QHtrwP3lZwgbgrI3Hsh1ccSpk7AL6Nix\nY1nhg95hm/B2/s3mKsCpjRzy0SssH0mN4Ni6Pfroo4Hl/+Mf/zjQPUh3VdX169dnnB82bFiWn1de\necXbjCJXOeQjdnlTKMUg/vvHQBQtsAH3hsYRPYTuDbUHxJmRoZtvvjlw84UoA+WvsKQbQFCcYQZ+\nxYoV+u6776pqpoH06x81ycIeol+xYkXGeUPQdnqGfBYKyZX3sBGGOJNEotYyDitfk18/fv9hQ6wm\nHntTCXvzGTMZZ9y4cYHhc+UpX/G/PvFjNt0IkqTaMYVSimJfY4XWJULHfjXg5wLYbv239/y+C6cm\nsV2NU5PYpiPGJDYgXg8zrIdkk9Q7oKibeZgBt0nCgPvPv/LKK6E6Gcz74L7KkSNHAtPx5zPoCXfV\nqlV6/Phxz09XV1eWn3w2jvGnuW7duiw//ne8/u0Yg8pt4cKFgRKWbwqFMvAl7HofaKL9ZcAB/ALA\nBwCOA+gC8G04n5EF7vkNoBnAOwC2IWT4XCMMuL/gDXEMeH80AtsAh32KEbWhvR/jz17AP+h82Dve\npPPuj3fNmjXa1tbm6bd48WIFnM0Xoib5heUjqFxWr17tLXMatglHvkPcZWVlXlz2EqoUCmXwirnm\n//KXvxRclyjR/uyBpyF2ZqJ64KqqdXV1UQWRmBFrb2/X9vb2jDgfeOCByDRNun6C4jLU1dUFugfh\nT/vCCy/Uuro6bW9v1x07dqiq6jPPPJN4AxsyZEignnHC1tXVeWJ/FkKhUCiUQWbAgVML2PsyqevX\nr89VEHkZlzjxBGH3AO3F9lVVx4wZo0BwL9ofv3mHa8fx1FNPeYv2G/di2NOWQqFQKPlLUnZUXGPa\n74hInxOeOnWqt/RoX5dVjVo68+jRo72Kxw43dOhQlJWV5RUXIYSQwYeqJrIOeFkSkRQKs/GC2S2n\nLyRlWMPi+fzzzwM3XCCEEEJ6w4DezCQXW7duLbQKhBBCSEEo2iH0mpoadHR0oKOjI9a2d4QQQshA\nIKkh9KI14KrOfsfTpk1LSiVCCCEkdUr+HXhrays6OzsLrQYhhBBSEHL2wEXkaQD/DGC/qk513X4E\nYBGAj1xvP1TV9e65uwF8B8BJALeq6oaQeAvT9SeEEEIKSL8NoYvIZQAOA/i5z4B/qqoP+fxeBGfl\ntr8DUAVntbYvaUAiNOCEEEJKkaQMeM5Z6Kr6GwAHA04FKXAtgFZVPamqnQD2ALi0TxoSQgghJIu+\nfEb2fRHpEJGnRGS063YOgH2Wn/ddN0IIIYQkSG8N+E8BTFbVWgAfAngwOZUIIYQQkoteGXBVPWC9\n134Sp4bJ3wcwwfJa5boRQgghJEHiGnCB9c5bRMZb5+YB+L17/BKABSIyVEQmATgfwG+TUJQQQggh\np8j5HbiI/ALATADjRKQLwI8AXCkitQC+ANAJYDEAqOoOEXkWwA4AJwA0Bs1AJ4QQQkjfKNqV2Agh\nhJBipN8+IyOEEELIwIMGnBBCCClCaMAJIYSQIoQGnBBCCClCaMAJIYSQIoQGnBBCCClCaMAJIYSQ\nIiSnAReRKhHZJCJ/EJHtInKL6z5GRDaIyG4RabM2NIGIrBCRPe5mJ7VpZoAQQggpReL0wE8CuE1V\nLwbw93B2IbsQwF0AXlXVKQA2AbgbAERkNpyNTr4EZ4W2x1LRnBBCCClh4uwH/qGqdrjHhwHshLNJ\nybUAVrreVrr/4f7+3PX/JoDRInJ2wnoTQgghJU1e78BF5FwAtQDeAHC2qu4HHCMPwBhp7glOCCGE\npExsAy4i5QCeB3Cr2xP3r2XOtc0JIYSQfiKWAReRMjjG+79V9UXXeb8ZGne3F/3Ideee4IQQQkjK\n5NxO1OU/AexQ1Ucst5cAfAtAk/v7ouX+fQC/FJHpAHrMULtNUruxEEIIIaVIzu1ERWQGgNcAbIcz\nTK4AfgjgtwCehdPbfg/Adara44ZpBtAA4AiAb6vqlrQyQAghhJQiBdsPnBBCCCG9pyArsYlIg4js\nEpG3RWRZIXRIEy5+4yAip4nIFhF5yf1/roi84dZ7izu3AiIyVERa3fy/LiLVhdW874jIaBF5TkR2\nuu3gK6VU/yKyVER+LyJvicgqt44Hbf2LyNMisl9E3rLc8q5vEbnRLZ/dInJDf+ejN4Tk/X637XeI\nyGoRqbDO3e3mfaeIzLLci9IuBOXfOne7iHwhImMtt+TqXlX7VeA8NLwDYCKA0wF0ALiwv/VIOY/j\nAdS6x+UAdgO4EM58gTtd92UA7nOPZwNY5x5/BcAbhc5DQuWwFMAzAF5y//8SwDfd40cBLHaP/xXA\nT93j+QBaC617Ann/LzivjwBnrsnoUql/AH8DYC+AoVa93ziY6x/AZXA+sX3LcsurvgGMAfCu21Yq\nzXGh89bLvH8VwGnu8X0A/t09/lsAW91r4lzXFkgx24Wg/LvuVQDWA/gjgLFp1H0heuCXAtijqu+p\n6gkArTi1CMygQLn4DUSkCsDVAJ6ynP8RwGr3eCWAue6xXS7PA6jvDx3Twu1tXK6qPwMAVT2pqodQ\nQvUPYAiAUW4vewSADwBciUFa/6r6GwAHfc751vc/AdigqofUmU+0Ac5cogFNUN5V9VVV/cL9+wac\n+x8AzIHzgHZSVTsB7IFjE4rWLoTUPQAsB3CHzy3Rui+EAfcv9PInDOKFXkp48RvTeBUARGQcgIPW\nRW3Xu5d/Vf0rgB57yKkImQTgYxH5mfsK4QkRGYkSqX9V/QDAgwC64OTlEIAtcL5IKYX6N5wVs75N\nWQyqdmDxHQAvu8dheRxUdkFE5gDYp6rbfacSrXvuRpYiUqKL34jINQD2u6MQ9ueCcT8dLPZPDMsA\nTAPwH6o6Dc7XGHehdOq/Ek5PYyKc4fRRyK8nWez1H0ZYfQ/W/EJE/g3ACVVtKbQu/YWIjIDzpdaP\n4njvS1qFMODvA7AnqQzKhV6ktBe/mQFgjojsBdACZ+j8ETjDRabN2Xn08i8iQwBUqGp3/6qcKH+C\n8/T9f+7/1XAMeqnU/1cB7FXVbrdH/QKcNlFZIvVvyLe+B9W9UUS+Bec12vWWcynkfTKc9/vbROSP\ncPKyRUTOQsL5L4QB/x2A80VkoogMBbAAzuIvg42oxW+A7MVvbgAAiVj8plhQ1R+qarWqngenfjep\n6r8A2Azgm663G5GZ/xvd42/C2d2uaHHrbp+IXOA61QP4A0qk/uEMnU8XkeEiIjiV/8Fe/4LMHlW+\n9d0G4Cr3C4YxAK5y3YqBjLyLSAOcV2hzVPW45e8lAAvcLw8mATgfzpoixW4XvPyr6u9Vdbyqnqeq\nk+A80Nep6kdIuu4LNGuvAc7M7D0A7iqEDinnbwaAv8KZSbkVzvu/BgBjAbzq5n0DgEorTDOcWZjb\nAEwrdB4SLIsrcGoW+iQAbwJ4G86M5NNd92FwFgXaA2euwLmF1juBfNfAuSl1AFgDZ3ZpydQ/nOHD\nnQDegjOB6/TBXP8AfgFnot5xOA8w34Yzsziv+oZj6Pe4ZXRDofPVh7zvgbPA1xZXfmr5v9vN+04A\nsyz3orQLQfn3nd8LdxZ60nXPhVwIIYSQIoST2AghhJAihAacEEIIKUJowAkhhJAihAacEEIIKUJo\nwAkhhJAihAacEEIIKUJowAkhhJAihAacEEIIKUL+H35dBjSilDeNAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fd5ff910fd0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "image = 1-ocrolib.read_image_gray(\"../tests/010030.bin.png\")\n", "image = interpolation.affine_transform(image,array([[0.5,0.015],[-0.015,0.5]]),offset=(-30,0),output_shape=(200,1400),order=0)\n", "\n", "imshow(image,cmap=cm.gray)\n", "print image.shape" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Load Normalizer and measure the image" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "# CenterNormalizer\n", "48\n" ] }, { "data": { "text/plain": [ "<matplotlib.image.AxesImage at 0x7fd5ff69ce50>" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfAAAABhCAYAAAAp4fO0AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngRuGYRhGEfL/AWLWhq4vM4U2AAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fd60807d2d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#reload(lineest)\n", "mv = ocrolib.lineest.CenterNormalizer()\n", "mv.measure(image)\n", "\n", "print mv.r\n", "plot(mv.center)\n", "plot(mv.center+mv.r)\n", "plot(mv.center-mv.r)\n", "imshow(image,cmap=cm.gray)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Dewarp\n", "\n", "The dewarping of the text line (first image) tries to find the center (blue curve) and then cut out slices with some fixed radius around the center. See this illustration [<img width=\"50%\" src=\"https://cloud.githubusercontent.com/assets/5199995/25406275/6905c7ce-2a06-11e7-89e0-ca740cd8a21c.png\"/>](https://cloud.githubusercontent.com/assets/5199995/25406275/6905c7ce-2a06-11e7-89e0-ca740cd8a21c.png)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(96, 1400)\n" ] }, { "data": { "text/plain": [ "<matplotlib.image.AxesImage at 0x7fd5ff5c39d0>" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAeoAAAA/CAYAAAAv6YsSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nMf8PAbj8hk7eQgkAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fd5ff497f50>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "dewarped = mv.dewarp(image)\n", "\n", "print dewarped.shape\n", "imshow(dewarped,cmap=cm.gray)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<matplotlib.image.AxesImage at 0x7fd5ff4f4dd0>" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAd0AAACmCAYAAACfr1XYAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nVmw2smCxggAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fd5ff5680d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "imshow(dewarped[:,:320],cmap=cm.gray,interpolation='nearest')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Normalize\n", "\n", "This will also dewarp the image but additionally normalize the image size (default `x_height` is 48)." ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(48, 700)\n" ] }, { "data": { "text/plain": [ "<matplotlib.image.AxesImage at 0x7fd5ff3ac410>" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAecAAAA/CAYAAADaFwCiAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nt1rKAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fd5ff437550>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "normalized = mv.normalize(image,order=0)\n", "\n", "print normalized.shape\n", "imshow(normalized,cmap=cm.gray)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 2 }
apache-2.0
tyler-skorczewski-math/tyler-skorczewski-math.github.io
covid19/covid-derivatives.ipynb
1
37919
{ "cells": [ { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "import numpy as np\n", "import matplotlib.pyplot as plt\n", "%matplotlib inline\n", "\n", "import csv\n", "\n", "dates = np.array([])\n", "cases = np.array([])\n", "\n", "with open('usa-covid19.csv') as csvDataFile: #data current through 3/26/2020\n", " csvReader = csv.reader(csvDataFile)\n", " for row in csvReader:\n", " dates = np.append(dates,row[0])\n", " cases = np.append(cases,float(row[1]))\n", "\n", "plt.plot(cases,'bo-');" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "#1st derivatives many ways\n", "\n", "n = len(cases)\n", "h=1 #step is 1 day\n", "fdcd = np.zeros(n)\n", "fdos3 = np.zeros(n)\n", "\n", "for j in range(1,n-1):\n", " fdcd[j] = (cases[j+1]-cases[j-1])/(2.*h)\n", "\n", "for j in range(2,n):\n", " fdos3[j] = (3.*cases[j] - 4.*cases[j-1] + cases[j-2])/(2.*h)\n", "\n", "plt.plot(fdcd[-21:-1],'r.',fdos3[-21:n],'bo');\n", "plt.legend(['center differencing','one-sided differencing']);" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "#2nd derivatives many ways\n", "\n", "n = len(cases)\n", "h=1 #step is 1 day\n", "sdcd = np.zeros(n)\n", "sdos4 = np.zeros(n)\n", "\n", "for j in range(1,n-1):\n", " sdcd[j] = (cases[j-1]-2*cases[j]+cases[j+1])/(h*h)\n", "\n", "for j in range(3,n):\n", " sdos4[j] = (2.*cases[j] -5.*cases[j-1] + 4.*cases[j-2] - cases[j-3])/(h*h)\n", "\n", "plt.plot(sdcd[1:-1],'r.',sdos4[3:n],'bo');#,fdos3[-21:-2],'b:');\n", "plt.legend(['center differencing','one-sided differencing']);\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.1" } }, "nbformat": 4, "nbformat_minor": 2 }
gpl-3.0
scitran/python-client
examples/fsl_bet.ipynb
1
4508
{ "cells": [ { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Found an existing token for this instance.\n", "The existing token has been refreshed.\n" ] } ], "source": [ "from scitran_client import ScitranClient, query, Projects, Acquisitions, Sessions, Files\n", "client = ScitranClient('scitran')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can assemble queries by building filters like below. We're looking for sessions in the project called `vwfa`, so we query for sessions and filter by the associated project. After we find the sessions we're interested in, we query for T1-weighted nifti files that are associated with those sessions." ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sessions = client.search(query(Sessions).filter(Projects.label.match('vwfa')))\n", "\n", "files = client.search(query(Files).filter(\n", " Files.type.match('nifti'),\n", " Acquisitions.measurement.match('anatomy_t1w'),\n", " Acquisitions.session.in_(session['_id'] for session in sessions),\n", "))" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\n" ] } ], "source": [ "# Let's analyze the first file.\n", "example_file = files[0]\n", "\n", "import os\n", "# fsl-bet looks for files in the nifti subdirectory\n", "nifti_dir = os.path.join(client.gear_in_dir, 'nifti')\n", "if not os.path.exists(nifti_dir):\n", " os.mkdir(nifti_dir)\n", "example_file_path = client.download_all_file_search_results([example_file], dest_dir=nifti_dir)[0]" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Running container scitran/fsl-bet on with input /Users/carlos/Downloads/input and output /Users/carlos/Downloads/output\n", "[scitran/fsl-bet] Initiated\n", "[scitran/fsl-bet] Running bet2 on 11353_3_1.nii.gz\n", "[scitran/fsl-bet] Wrote: 11353_3_1_brain-extracted.nii.gz\n", "[scitran/fsl-bet] generated /flywheel/v0/output/.metadata.json\n", "[scitran/fsl-bet] Done!\n", "Docker container finished with exit code 0\n", "Uploading results to collection with id 56e9d386ddea7f915e81f704.\n", "Uploaded analysis has ID 57e6cbdf7667550013270805. Server responded with 200.\n" ] } ], "source": [ "session_id = example_file['_source']['acquisition']['session']\n", "\n", "# We let fsl-bet find the input file by having an empty string for a command.\n", "client.run_gear_and_upload_analysis('testing fsl-bet local run', 'scitran/fsl-bet', session_id, '')" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import nibabel as nib\n", "import scipy.misc\n", "img = nib.load(example_file_path)\n", "scipy.misc.toimage(img.get_data()[100, :, :]).save('before.png')\n", "\n", "output_file_path = os.path.join(client.gear_out_dir, '11353_3_1_brain-extracted.nii.gz')\n", "img = nib.load(output_file_path)\n", "scipy.misc.toimage(img.get_data()[100, :, :]).save('after.png')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "![](before.png) ![](after.png)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" }, "widgets": { "state": { "56d9ce404ad6444aa91b0f904ab588a7": { "views": [ { "cell_index": 3 } ] }, "773cb1259fa646d5b9691091c88969d2": { "views": [ { "cell_index": 3 } ] } }, "version": "1.2.0" } }, "nbformat": 4, "nbformat_minor": 1 }
gpl-3.0
ecervera/mindstorms-nb
nxt/index.ipynb
1
1918
{ "cells": [ { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "[<img src=\"img/brick.jpg\" align=\"right\">](https://en.wikipedia.org/wiki/Lego_Mindstorms_NXT#NXT_Intelligent_Brick)\n", "# Mindstorms NXT\n", "Lego Mindstorms NXT és la segona generació de kits de robòtica de Lego. El controlador NXT permet connectar fins a quatre sensors i tres motors. Té una pantalla de 100 x 60 píxels, un altaveu, i quatre botons. Porta un microprocessador de 32 bits amb 256KB de memòria FLASH i 64KB de RAM, i es pot communicar per USB i Bluetooth amb l'ordinador.\n", "\n", "En primer lloc **enceneu el controlador amb el botó taronja**. Emetrà un so i apareixerà texte i unes icones a la pantalla. Si no és així, indiqueu-ho al professor de l'aula." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Ara heu de configurar el vostre robot.\n", "\n", "Per a això, indiqueu al codi de baix el número del vostre robot, i executeu-lo pulsant simultàniament les tecles `Majúscules + Enter`." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "robot = 12 # canvieu-lo pel número del vostre robot\n", "from functions import configure\n", "configure(robot) # executeu pulsant simultàniament Majúscules + Enter" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [conda env:py34]", "language": "python", "name": "conda-env-py34-py" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.2" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
pombredanne/https-gitlab.lrde.epita.fr-vcsn-vcsn
doc/notebooks/context.ladybird.ipynb
1
39773
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# `context`.`ladybird`(_`n`_)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Create the Ladybird automaton with $n$ states. This family of automata is a worst case for determinization: its determinized automaton has $2^n$ states.\n", "\n", "Preconditions:\n", "- the labelset has at least three generators\n", "\n", "See also:\n", "- [automaton.determinize](automaton.determinize.ipynb)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Examples" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ ":0: FutureWarning: IPython widgets are experimental and may change in the future.\n" ] }, { "data": { "application/javascript": [ "IPython.load_extensions(\"AutomatonD3Widget\")" ], "text/plain": [ "<IPython.core.display.Javascript object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import vcsn\n", "b = vcsn.context('lal_char(abc), b')" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.38.0 (20140413.2041)\n", " -->\n", "<!-- Title: %3 Pages: 1 -->\n", "<svg width=\"239pt\" height=\"129pt\"\n", " viewBox=\"0.00 0.00 239.00 129.04\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 121)\">\n", "<title>%3</title>\n", "<polygon fill=\"white\" stroke=\"none\" points=\"-4,8.0385 -4,-121 235,-121 235,8.0385 -4,8.0385\"/>\n", "<!-- I0 -->\n", "<g id=\"node1\" class=\"node\"><title>I0</title>\n", "<ellipse fill=\"black\" stroke=\"black\" cx=\"0\" cy=\"-58\" rx=\"0\" ry=\"0\"/>\n", "</g>\n", "<!-- 0 -->\n", "<g id=\"node3\" class=\"node\"><title>0</title>\n", "<ellipse fill=\"#98f5ff\" stroke=\"black\" cx=\"55\" cy=\"-58\" rx=\"18\" ry=\"18\"/>\n", "<text text-anchor=\"middle\" x=\"55\" y=\"-54.3\" font-family=\"Times,serif\" font-size=\"14.00\">0</text>\n", "</g>\n", "<!-- I0&#45;&gt;0 -->\n", "<g id=\"edge1\" class=\"edge\"><title>I0&#45;&gt;0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M1.152,-58C2.7948,-58 17.459,-58 30.924,-58\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"36.975,-58 30.975,-60.7001 33.975,-58 30.975,-58.0001 30.975,-58.0001 30.975,-58.0001 33.975,-58 30.975,-55.3001 36.975,-58 36.975,-58\"/>\n", "</g>\n", "<!-- F0 -->\n", "<g id=\"node2\" class=\"node\"><title>F0</title>\n", "<ellipse fill=\"black\" stroke=\"black\" cx=\"134\" cy=\"-117\" rx=\"0\" ry=\"0\"/>\n", "</g>\n", "<!-- 0&#45;&gt;F0 -->\n", "<g id=\"edge2\" class=\"edge\"><title>0&#45;&gt;F0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M69.4898,-68.8215C87.4411,-82.2282 117.1,-104.378 128.914,-113.202\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"133.88,-116.91 127.457,-115.483 131.476,-115.115 129.072,-113.32 129.072,-113.32 129.072,-113.32 131.476,-115.115 130.688,-111.157 133.88,-116.91 133.88,-116.91\"/>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node4\" class=\"node\"><title>1</title>\n", "<ellipse fill=\"#98f5ff\" stroke=\"black\" cx=\"134\" cy=\"-48\" rx=\"18\" ry=\"18\"/>\n", "<text text-anchor=\"middle\" x=\"134\" y=\"-44.3\" font-family=\"Times,serif\" font-size=\"14.00\">1</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g id=\"edge3\" class=\"edge\"><title>0&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M73.215,-57.261C80.833,-56.808 89.886,-56.097 98,-55 102.04,-54.453 106.32,-53.725 110.45,-52.944\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"116.34,-51.778 110.979,-55.5918 113.397,-52.3606 110.454,-52.9432 110.454,-52.9432 110.454,-52.9432 113.397,-52.3606 109.93,-50.2946 116.34,-51.778 116.34,-51.778\"/>\n", "<text text-anchor=\"middle\" x=\"94.5\" y=\"-58.8\" font-family=\"Times,serif\" font-size=\"14.00\">a</text>\n", "</g>\n", "<!-- 1&#45;&gt;0 -->\n", "<g id=\"edge4\" class=\"edge\"><title>1&#45;&gt;0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M117.83,-39.473C109.85,-36.043 99.89,-33.438 91,-36 85.328,-37.635 79.687,-40.49 74.644,-43.613\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"69.432,-47.061 72.9464,-41.4987 71.9341,-45.4058 74.4361,-43.7505 74.4361,-43.7505 74.4361,-43.7505 71.9341,-45.4058 75.9258,-46.0023 69.432,-47.061 69.432,-47.061\"/>\n", "<text text-anchor=\"middle\" x=\"94.5\" y=\"-39.8\" font-family=\"Times,serif\" font-size=\"14.00\">c</text>\n", "</g>\n", "<!-- 1&#45;&gt;1 -->\n", "<g id=\"edge5\" class=\"edge\"><title>1&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M127.27,-65.037C125.89,-74.858 128.14,-84 134,-84 138.58,-84 140.95,-78.42 141.12,-71.296\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"140.73,-65.037 143.798,-70.8574 140.917,-68.0312 141.103,-71.0254 141.103,-71.0254 141.103,-71.0254 140.917,-68.0312 138.408,-71.1933 140.73,-65.037 140.73,-65.037\"/>\n", "<text text-anchor=\"middle\" x=\"134\" y=\"-87.8\" font-family=\"Times,serif\" font-size=\"14.00\">b, c</text>\n", "</g>\n", "<!-- 2 -->\n", "<g id=\"node5\" class=\"node\"><title>2</title>\n", "<ellipse fill=\"#98f5ff\" stroke=\"black\" cx=\"213\" cy=\"-18\" rx=\"18\" ry=\"18\"/>\n", "<text text-anchor=\"middle\" x=\"213\" y=\"-14.3\" font-family=\"Times,serif\" font-size=\"14.00\">2</text>\n", "</g>\n", "<!-- 1&#45;&gt;2 -->\n", "<g id=\"edge6\" class=\"edge\"><title>1&#45;&gt;2</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M150.95,-41.784C162.31,-37.359 177.71,-31.36 190.2,-26.494\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"196.07,-24.208 191.459,-28.9014 193.275,-25.2967 190.479,-26.3854 190.479,-26.3854 190.479,-26.3854 193.275,-25.2967 189.499,-23.8695 196.07,-24.208 196.07,-24.208\"/>\n", "<text text-anchor=\"middle\" x=\"173.5\" y=\"-36.8\" font-family=\"Times,serif\" font-size=\"14.00\">a</text>\n", "</g>\n", "<!-- 2&#45;&gt;0 -->\n", "<g id=\"edge7\" class=\"edge\"><title>2&#45;&gt;0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M196.18,-11.59C176.7,-4.688 142.91,4.0385 116,-6 98.214,-12.635 82.2,-27.18 71.199,-39.205\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"67.091,-43.836 69.0528,-37.5557 69.0818,-41.5917 71.0726,-39.3475 71.0726,-39.3475 71.0726,-39.3475 69.0818,-41.5917 73.0925,-41.1392 67.091,-43.836 67.091,-43.836\"/>\n", "<text text-anchor=\"middle\" x=\"134\" y=\"-9.8\" font-family=\"Times,serif\" font-size=\"14.00\">a, c</text>\n", "</g>\n", "<!-- 2&#45;&gt;2 -->\n", "<g id=\"edge8\" class=\"edge\"><title>2&#45;&gt;2</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M206.27,-35.037C204.89,-44.858 207.14,-54 213,-54 217.58,-54 219.95,-48.42 220.12,-41.296\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"219.73,-35.037 222.798,-40.8574 219.917,-38.0312 220.103,-41.0254 220.103,-41.0254 220.103,-41.0254 219.917,-38.0312 217.408,-41.1933 219.73,-35.037 219.73,-35.037\"/>\n", "<text text-anchor=\"middle\" x=\"213\" y=\"-57.8\" font-family=\"Times,serif\" font-size=\"14.00\">b, c</text>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "mutable_automaton<letterset<char_letters(abc)>, b>" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "b.ladybird(3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "These automata are easier to read when states are put on a circle, as does the `circo` engine." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.38.0 (20140413.2041)\n", " -->\n", "<!-- Title: %3 Pages: 1 -->\n", "<svg width=\"555pt\" height=\"306pt\"\n", " viewBox=\"0.00 0.00 555.00 306.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 302)\">\n", "<title>%3</title>\n", "<polygon fill=\"white\" stroke=\"none\" points=\"-4,4 -4,-302 551,-302 551,4 -4,4\"/>\n", "<!-- I0 -->\n", "<g id=\"node1\" class=\"node\"><title>I0</title>\n", "<ellipse fill=\"black\" stroke=\"black\" cx=\"0\" cy=\"-155\" rx=\"0\" ry=\"0\"/>\n", "</g>\n", "<!-- 0 -->\n", "<g id=\"node3\" class=\"node\"><title>0</title>\n", "<ellipse fill=\"#98f5ff\" stroke=\"black\" cx=\"55\" cy=\"-155\" rx=\"18\" ry=\"18\"/>\n", "<text text-anchor=\"middle\" x=\"55\" y=\"-151.3\" font-family=\"Times,serif\" font-size=\"14.00\">0</text>\n", "</g>\n", "<!-- I0&#45;&gt;0 -->\n", "<g id=\"edge1\" class=\"edge\"><title>I0&#45;&gt;0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M1.152,-155C2.7948,-155 17.459,-155 30.924,-155\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"36.975,-155 30.975,-157.7 33.975,-155 30.975,-155 30.975,-155 30.975,-155 33.975,-155 30.975,-152.3 36.975,-155 36.975,-155\"/>\n", "</g>\n", "<!-- F0 -->\n", "<g id=\"node2\" class=\"node\"><title>F0</title>\n", "<ellipse fill=\"black\" stroke=\"black\" cx=\"134\" cy=\"-298\" rx=\"0\" ry=\"0\"/>\n", "</g>\n", "<!-- 0&#45;&gt;F0 -->\n", "<g id=\"edge2\" class=\"edge\"><title>0&#45;&gt;F0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M63.7562,-170.85C81.3144,-202.632 120.082,-272.807 131.055,-292.668\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"133.964,-297.935 128.699,-293.988 132.513,-295.309 131.062,-292.683 131.062,-292.683 131.062,-292.683 132.513,-295.309 133.426,-291.377 133.964,-297.935 133.964,-297.935\"/>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node4\" class=\"node\"><title>1</title>\n", "<ellipse fill=\"#98f5ff\" stroke=\"black\" cx=\"134\" cy=\"-229\" rx=\"18\" ry=\"18\"/>\n", "<text text-anchor=\"middle\" x=\"134\" y=\"-225.3\" font-family=\"Times,serif\" font-size=\"14.00\">1</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g id=\"edge3\" class=\"edge\"><title>0&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M59.242,-172.62C63.404,-189.69 72.435,-214.76 91,-227 96.485,-230.62 103.3,-231.94 109.84,-232.13\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"116.23,-231.99 110.291,-234.821 113.231,-232.056 110.231,-232.122 110.231,-232.122 110.231,-232.122 113.231,-232.056 110.172,-229.422 116.23,-231.99 116.23,-231.99\"/>\n", "<text text-anchor=\"middle\" x=\"94.5\" y=\"-232.8\" font-family=\"Times,serif\" font-size=\"14.00\">a</text>\n", "</g>\n", "<!-- 1&#45;&gt;0 -->\n", "<g id=\"edge4\" class=\"edge\"><title>1&#45;&gt;0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M120.1,-216.78C111.78,-208.87 100.72,-198.35 91,-189 85.181,-183.4 78.843,-177.26 73.18,-171.75\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"68.607,-167.31 74.7926,-169.552 70.7594,-169.4 72.9118,-171.49 72.9118,-171.49 72.9118,-171.49 70.7594,-169.4 71.031,-173.427 68.607,-167.31 68.607,-167.31\"/>\n", "<text text-anchor=\"middle\" x=\"94.5\" y=\"-198.8\" font-family=\"Times,serif\" font-size=\"14.00\">c</text>\n", "</g>\n", "<!-- 1&#45;&gt;1 -->\n", "<g id=\"edge5\" class=\"edge\"><title>1&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M127.27,-246.04C125.89,-255.86 128.14,-265 134,-265 138.58,-265 140.95,-259.42 141.12,-252.3\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"140.73,-246.04 143.798,-251.86 140.917,-249.034 141.103,-252.028 141.103,-252.028 141.103,-252.028 140.917,-249.034 138.408,-252.196 140.73,-246.04 140.73,-246.04\"/>\n", "<text text-anchor=\"middle\" x=\"134\" y=\"-268.8\" font-family=\"Times,serif\" font-size=\"14.00\">b, c</text>\n", "</g>\n", "<!-- 2 -->\n", "<g id=\"node5\" class=\"node\"><title>2</title>\n", "<ellipse fill=\"#98f5ff\" stroke=\"black\" cx=\"213\" cy=\"-178\" rx=\"18\" ry=\"18\"/>\n", "<text text-anchor=\"middle\" x=\"213\" y=\"-174.3\" font-family=\"Times,serif\" font-size=\"14.00\">2</text>\n", "</g>\n", "<!-- 1&#45;&gt;2 -->\n", "<g id=\"edge6\" class=\"edge\"><title>1&#45;&gt;2</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M149.48,-219.41C161.64,-211.36 179.11,-199.79 192.49,-190.92\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"197.58,-187.55 194.068,-193.114 195.079,-189.206 192.577,-190.862 192.577,-190.862 192.577,-190.862 195.079,-189.206 191.087,-188.611 197.58,-187.55 197.58,-187.55\"/>\n", "<text text-anchor=\"middle\" x=\"173.5\" y=\"-208.8\" font-family=\"Times,serif\" font-size=\"14.00\">a</text>\n", "</g>\n", "<!-- 2&#45;&gt;0 -->\n", "<g id=\"edge7\" class=\"edge\"><title>2&#45;&gt;0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M195.03,-175.5C166.91,-171.35 110.63,-163.05 78.978,-158.39\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"72.992,-157.51 79.3209,-155.711 75.9601,-157.946 78.9282,-158.383 78.9282,-158.383 78.9282,-158.383 75.9601,-157.946 78.5355,-161.054 72.992,-157.51 72.992,-157.51\"/>\n", "<text text-anchor=\"middle\" x=\"134\" y=\"-171.8\" font-family=\"Times,serif\" font-size=\"14.00\">c</text>\n", "</g>\n", "<!-- 2&#45;&gt;2 -->\n", "<g id=\"edge8\" class=\"edge\"><title>2&#45;&gt;2</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M206.27,-195.04C204.89,-204.86 207.14,-214 213,-214 217.58,-214 219.95,-208.42 220.12,-201.3\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"219.73,-195.04 222.798,-200.86 219.917,-198.034 220.103,-201.028 220.103,-201.028 220.103,-201.028 219.917,-198.034 217.408,-201.196 219.73,-195.04 219.73,-195.04\"/>\n", "<text text-anchor=\"middle\" x=\"213\" y=\"-217.8\" font-family=\"Times,serif\" font-size=\"14.00\">b, c</text>\n", "</g>\n", "<!-- 3 -->\n", "<g id=\"node6\" class=\"node\"><title>3</title>\n", "<ellipse fill=\"#98f5ff\" stroke=\"black\" cx=\"292\" cy=\"-135\" rx=\"18\" ry=\"18\"/>\n", "<text text-anchor=\"middle\" x=\"292\" y=\"-131.3\" font-family=\"Times,serif\" font-size=\"14.00\">3</text>\n", "</g>\n", "<!-- 2&#45;&gt;3 -->\n", "<g id=\"edge9\" class=\"edge\"><title>2&#45;&gt;3</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M229.21,-169.5C241.02,-162.91 257.52,-153.7 270.5,-146.45\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"275.82,-143.48 271.897,-148.762 273.201,-144.942 270.581,-146.405 270.581,-146.405 270.581,-146.405 273.201,-144.942 269.265,-144.047 275.82,-143.48 275.82,-143.48\"/>\n", "<text text-anchor=\"middle\" x=\"252.5\" y=\"-160.8\" font-family=\"Times,serif\" font-size=\"14.00\">a</text>\n", "</g>\n", "<!-- 3&#45;&gt;0 -->\n", "<g id=\"edge10\" class=\"edge\"><title>3&#45;&gt;0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M274.01,-136.45C232.62,-139.97 126.5,-149 79.274,-153.02\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"73.28,-153.53 79.0295,-150.331 76.2692,-153.276 79.2584,-153.021 79.2584,-153.021 79.2584,-153.021 76.2692,-153.276 79.4873,-155.712 73.28,-153.53 73.28,-153.53\"/>\n", "<text text-anchor=\"middle\" x=\"173.5\" y=\"-148.8\" font-family=\"Times,serif\" font-size=\"14.00\">c</text>\n", "</g>\n", "<!-- 3&#45;&gt;3 -->\n", "<g id=\"edge11\" class=\"edge\"><title>3&#45;&gt;3</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M285.27,-152.04C283.89,-161.86 286.14,-171 292,-171 296.58,-171 298.95,-165.42 299.12,-158.3\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"298.73,-152.04 301.798,-157.86 298.917,-155.034 299.103,-158.028 299.103,-158.028 299.103,-158.028 298.917,-155.034 296.408,-158.196 298.73,-152.04 298.73,-152.04\"/>\n", "<text text-anchor=\"middle\" x=\"292\" y=\"-174.8\" font-family=\"Times,serif\" font-size=\"14.00\">b, c</text>\n", "</g>\n", "<!-- 4 -->\n", "<g id=\"node7\" class=\"node\"><title>4</title>\n", "<ellipse fill=\"#98f5ff\" stroke=\"black\" cx=\"371\" cy=\"-89\" rx=\"18\" ry=\"18\"/>\n", "<text text-anchor=\"middle\" x=\"371\" y=\"-85.3\" font-family=\"Times,serif\" font-size=\"14.00\">4</text>\n", "</g>\n", "<!-- 3&#45;&gt;4 -->\n", "<g id=\"edge12\" class=\"edge\"><title>3&#45;&gt;4</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M307.85,-126.13C319.78,-119 336.66,-108.92 349.81,-101.06\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"355.2,-97.842 351.432,-103.236 352.624,-99.3799 350.048,-100.918 350.048,-100.918 350.048,-100.918 352.624,-99.3799 348.664,-98.5995 355.2,-97.842 355.2,-97.842\"/>\n", "<text text-anchor=\"middle\" x=\"331.5\" y=\"-116.8\" font-family=\"Times,serif\" font-size=\"14.00\">a</text>\n", "</g>\n", "<!-- 4&#45;&gt;0 -->\n", "<g id=\"edge13\" class=\"edge\"><title>4&#45;&gt;0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M352.87,-89C337.27,-89 313.59,-89 293,-89 133,-89 133,-89 133,-89 104.68,-89 81.542,-115.06 68.261,-134.43\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"64.78,-139.69 65.8397,-133.196 66.4357,-137.188 68.0913,-134.686 68.0913,-134.686 68.0913,-134.686 66.4357,-137.188 70.3429,-136.177 64.78,-139.69 64.78,-139.69\"/>\n", "<text text-anchor=\"middle\" x=\"213\" y=\"-92.8\" font-family=\"Times,serif\" font-size=\"14.00\">c</text>\n", "</g>\n", "<!-- 4&#45;&gt;4 -->\n", "<g id=\"edge14\" class=\"edge\"><title>4&#45;&gt;4</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M364.27,-106.04C362.89,-115.86 365.14,-125 371,-125 375.58,-125 377.95,-119.42 378.12,-112.3\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"377.73,-106.04 380.798,-111.86 377.917,-109.034 378.103,-112.028 378.103,-112.028 378.103,-112.028 377.917,-109.034 375.408,-112.196 377.73,-106.04 377.73,-106.04\"/>\n", "<text text-anchor=\"middle\" x=\"371\" y=\"-128.8\" font-family=\"Times,serif\" font-size=\"14.00\">b, c</text>\n", "</g>\n", "<!-- 5 -->\n", "<g id=\"node8\" class=\"node\"><title>5</title>\n", "<ellipse fill=\"#98f5ff\" stroke=\"black\" cx=\"450\" cy=\"-46\" rx=\"18\" ry=\"18\"/>\n", "<text text-anchor=\"middle\" x=\"450\" y=\"-42.3\" font-family=\"Times,serif\" font-size=\"14.00\">5</text>\n", "</g>\n", "<!-- 4&#45;&gt;5 -->\n", "<g id=\"edge15\" class=\"edge\"><title>4&#45;&gt;5</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M387.21,-80.505C399.02,-73.908 415.52,-64.696 428.5,-57.45\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"433.82,-54.478 429.899,-59.7614 431.201,-55.9411 428.582,-57.4043 428.582,-57.4043 428.582,-57.4043 431.201,-55.9411 427.265,-55.0471 433.82,-54.478 433.82,-54.478\"/>\n", "<text text-anchor=\"middle\" x=\"410.5\" y=\"-72.8\" font-family=\"Times,serif\" font-size=\"14.00\">a</text>\n", "</g>\n", "<!-- 5&#45;&gt;0 -->\n", "<g id=\"edge16\" class=\"edge\"><title>5&#45;&gt;0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M431.88,-44.951C416.28,-44.086 392.6,-43 372,-43 133,-43 133,-43 133,-43 90.349,-43 69.541,-98.833 60.992,-131.66\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"59.537,-137.5 58.3677,-131.025 60.2623,-134.589 60.9876,-131.678 60.9876,-131.678 60.9876,-131.678 60.2623,-134.589 63.6075,-132.331 59.537,-137.5 59.537,-137.5\"/>\n", "<text text-anchor=\"middle\" x=\"252.5\" y=\"-46.8\" font-family=\"Times,serif\" font-size=\"14.00\">c</text>\n", "</g>\n", "<!-- 5&#45;&gt;5 -->\n", "<g id=\"edge17\" class=\"edge\"><title>5&#45;&gt;5</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M443.27,-63.037C441.89,-72.858 444.14,-82 450,-82 454.58,-82 456.95,-76.42 457.12,-69.296\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"456.73,-63.037 459.798,-68.8574 456.917,-66.0312 457.103,-69.0254 457.103,-69.0254 457.103,-69.0254 456.917,-66.0312 454.408,-69.1933 456.73,-63.037 456.73,-63.037\"/>\n", "<text text-anchor=\"middle\" x=\"450\" y=\"-85.8\" font-family=\"Times,serif\" font-size=\"14.00\">b, c</text>\n", "</g>\n", "<!-- 6 -->\n", "<g id=\"node9\" class=\"node\"><title>6</title>\n", "<ellipse fill=\"#98f5ff\" stroke=\"black\" cx=\"529\" cy=\"-19\" rx=\"18\" ry=\"18\"/>\n", "<text text-anchor=\"middle\" x=\"529\" y=\"-15.3\" font-family=\"Times,serif\" font-size=\"14.00\">6</text>\n", "</g>\n", "<!-- 5&#45;&gt;6 -->\n", "<g id=\"edge18\" class=\"edge\"><title>5&#45;&gt;6</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M467.33,-40.274C478.56,-36.336 493.61,-31.06 505.91,-26.747\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"511.69,-24.718 506.923,-29.253 508.859,-25.7117 506.029,-26.7054 506.029,-26.7054 506.029,-26.7054 508.859,-25.7117 505.134,-24.1578 511.69,-24.718 511.69,-24.718\"/>\n", "<text text-anchor=\"middle\" x=\"489.5\" y=\"-37.8\" font-family=\"Times,serif\" font-size=\"14.00\">a</text>\n", "</g>\n", "<!-- 6&#45;&gt;0 -->\n", "<g id=\"edge19\" class=\"edge\"><title>6&#45;&gt;0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M512.06,-12.673C496.68,-7.1462 472.62,-0 451,-0 133,-0 133,-0 133,-0 75.293,-0 60.834,-87.237 57.211,-130.82\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"56.751,-136.92 54.5099,-130.734 56.9766,-133.928 57.2023,-130.937 57.2023,-130.937 57.2023,-130.937 56.9766,-133.928 59.8946,-131.14 56.751,-136.92 56.751,-136.92\"/>\n", "<text text-anchor=\"middle\" x=\"292\" y=\"-3.8\" font-family=\"Times,serif\" font-size=\"14.00\">a, c</text>\n", "</g>\n", "<!-- 6&#45;&gt;6 -->\n", "<g id=\"edge20\" class=\"edge\"><title>6&#45;&gt;6</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M522.27,-36.037C520.89,-45.858 523.14,-55 529,-55 533.58,-55 535.95,-49.42 536.12,-42.296\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"535.73,-36.037 538.798,-41.8574 535.917,-39.0312 536.103,-42.0254 536.103,-42.0254 536.103,-42.0254 535.917,-39.0312 533.408,-42.1933 535.73,-36.037 535.73,-36.037\"/>\n", "<text text-anchor=\"middle\" x=\"529\" y=\"-58.8\" font-family=\"Times,serif\" font-size=\"14.00\">b, c</text>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "mutable_automaton<letterset<char_letters(abc)>, b>" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "b.ladybird(7)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/svg+xml": [ "<svg height=\"325pt\" viewBox=\"0.00 0.00 425.52 324.75\" width=\"426pt\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g class=\"graph\" id=\"graph0\" transform=\"scale(1 1) rotate(0) translate(4 320.75)\">\n", "<title>%3</title>\n", "<polygon fill=\"white\" points=\"-4,4 -4,-320.75 421.52,-320.75 421.52,4 -4,4\" stroke=\"none\"/>\n", "<!-- I0 -->\n", "<g class=\"node\" id=\"node1\"><title>I0</title>\n", "<ellipse cx=\"0\" cy=\"-171.48\" fill=\"black\" rx=\"0\" ry=\"0\" stroke=\"black\"/>\n", "</g>\n", "<!-- 0 -->\n", "<g class=\"node\" id=\"node3\"><title>0</title>\n", "<ellipse cx=\"197.18\" cy=\"-249.84\" fill=\"#98f5ff\" rx=\"18\" ry=\"18\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"197.18\" y=\"-246.14\">0</text>\n", "</g>\n", "<!-- I0&#45;&gt;0 -->\n", "<g class=\"edge\" id=\"edge1\"><title>I0-&gt;0</title>\n", "<path d=\"M0.14291,-171.53C4.8271,-173.4 123.43,-220.53 174.33,-240.76\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"180.19,-243.08 173.617,-243.382 177.401,-241.976 174.611,-240.871 174.611,-240.871 174.611,-240.871 177.401,-241.976 175.605,-238.361 180.19,-243.08 180.19,-243.08\" stroke=\"black\"/>\n", "</g>\n", "<!-- F0 -->\n", "<g class=\"node\" id=\"node2\"><title>F0</title>\n", "<ellipse cx=\"170.59\" cy=\"-316.75\" fill=\"black\" rx=\"0\" ry=\"0\" stroke=\"black\"/>\n", "</g>\n", "<!-- 0&#45;&gt;F0 -->\n", "<g class=\"edge\" id=\"edge2\"><title>0-&gt;F0</title>\n", "<path d=\"M190.47,-266.724C184.747,-281.126 176.846,-301.008 172.953,-310.805\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"170.625,-316.663 170.331,-310.09 171.733,-313.875 172.841,-311.087 172.841,-311.087 172.841,-311.087 171.733,-313.875 175.35,-312.084 170.625,-316.663 170.625,-316.663\" stroke=\"black\"/>\n", "</g>\n", "<!-- 1 -->\n", "<g class=\"node\" id=\"node4\"><title>1</title>\n", "<ellipse cx=\"233.14\" cy=\"-18\" fill=\"#98f5ff\" rx=\"18\" ry=\"18\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"233.14\" y=\"-14.3\">1</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g class=\"edge\" id=\"edge3\"><title>0-&gt;1</title>\n", "<path d=\"M203.61,-232.99C213.74,-192.45 229.89,-89.373 233.71,-42.212\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"234.15,-36.209 236.404,-42.3904 233.931,-39.201 233.711,-42.1929 233.711,-42.1929 233.711,-42.1929 233.931,-39.201 231.019,-41.9955 234.15,-36.209 234.15,-36.209\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"215.16\" y=\"-141.4\">a</text>\n", "</g>\n", "<!-- 1&#45;&gt;0 -->\n", "<g class=\"edge\" id=\"edge4\"><title>1-&gt;0</title>\n", "<path d=\"M226.71,-34.847C216.58,-75.384 200.42,-178.46 196.61,-225.63\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"196.17,-231.63 193.916,-225.449 196.389,-228.638 196.609,-225.646 196.609,-225.646 196.609,-225.646 196.389,-228.638 199.302,-225.844 196.17,-231.63 196.17,-231.63\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"208.16\" y=\"-134.04\">c</text>\n", "</g>\n", "<!-- 1&#45;&gt;1 -->\n", "<g class=\"edge\" id=\"edge5\"><title>1-&gt;1</title>\n", "<path d=\"M250.17,-24.379C259.99,-25.681 269.14,-23.555 269.14,-18 269.14,-13.66 263.56,-11.413 256.43,-11.259\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"250.17,-11.621 256.004,-8.57903 253.165,-11.4478 256.16,-11.2745 256.16,-11.2745 256.16,-11.2745 253.165,-11.4478 256.316,-13.97 250.17,-11.621 250.17,-11.621\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"279.64\" y=\"-14.3\">b, c</text>\n", "</g>\n", "<!-- 2 -->\n", "<g class=\"node\" id=\"node5\"><title>2</title>\n", "<ellipse cx=\"142.49\" cy=\"-69.819\" fill=\"#98f5ff\" rx=\"18\" ry=\"18\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"142.49\" y=\"-66.119\">2</text>\n", "</g>\n", "<!-- 1&#45;&gt;2 -->\n", "<g class=\"edge\" id=\"edge6\"><title>1-&gt;2</title>\n", "<path d=\"M217.35,-27.026C202.49,-35.519 180.14,-48.299 163.72,-57.682\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"158.4,-60.722 162.27,-55.4009 161.005,-59.2336 163.609,-57.7451 163.609,-57.7451 163.609,-57.7451 161.005,-59.2336 164.949,-60.0894 158.4,-60.722 158.4,-60.722\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"187.04\" y=\"-46.154\">a</text>\n", "</g>\n", "<!-- 2&#45;&gt;0 -->\n", "<g class=\"edge\" id=\"edge7\"><title>2-&gt;0</title>\n", "<path d=\"M147.74,-87.08C157.59,-119.52 178.89,-189.63 190.04,-226.34\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"191.87,-232.36 187.542,-227.405 190.997,-229.49 190.125,-226.619 190.125,-226.619 190.125,-226.619 190.997,-229.49 192.708,-225.834 191.87,-232.36 191.87,-232.36\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"165.39\" y=\"-145.51\">c</text>\n", "</g>\n", "<!-- 2&#45;&gt;2 -->\n", "<g class=\"edge\" id=\"edge8\"><title>2-&gt;2</title>\n", "<path d=\"M159.53,-76.198C169.35,-77.5 178.49,-75.374 178.49,-69.819 178.49,-65.48 172.91,-63.232 165.79,-63.078\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"159.53,-63.44 165.364,-60.398 162.525,-63.2668 165.52,-63.0935 165.52,-63.0935 165.52,-63.0935 162.525,-63.2668 165.676,-65.789 159.53,-63.44 159.53,-63.44\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"188.99\" y=\"-66.119\">b, c</text>\n", "</g>\n", "<!-- 3 -->\n", "<g class=\"node\" id=\"node6\"><title>3</title>\n", "<ellipse cx=\"126.49\" cy=\"-173\" fill=\"#98f5ff\" rx=\"18\" ry=\"18\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"126.49\" y=\"-169.3\">3</text>\n", "</g>\n", "<!-- 2&#45;&gt;3 -->\n", "<g class=\"edge\" id=\"edge9\"><title>2-&gt;3</title>\n", "<path d=\"M139.71,-87.791C137.08,-104.7 133.14,-130.15 130.24,-148.83\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"129.3,-154.88 127.553,-148.537 129.761,-151.916 130.221,-148.951 130.221,-148.951 130.221,-148.951 129.761,-151.916 132.889,-149.366 129.3,-154.88 129.3,-154.88\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"131.47\" y=\"-122.11\">a</text>\n", "</g>\n", "<!-- 3&#45;&gt;0 -->\n", "<g class=\"edge\" id=\"edge10\"><title>3-&gt;0</title>\n", "<path d=\"M138.8,-186.38C150.39,-198.97 167.82,-217.93 180.62,-231.84\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"184.77,-236.35 178.72,-233.763 182.739,-234.142 180.707,-231.935 180.707,-231.935 180.707,-231.935 182.739,-234.142 182.694,-230.107 184.77,-236.35 184.77,-236.35\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"156.21\" y=\"-212.91\">c</text>\n", "</g>\n", "<!-- 3&#45;&gt;3 -->\n", "<g class=\"edge\" id=\"edge11\"><title>3-&gt;3</title>\n", "<path d=\"M143.53,-179.38C153.35,-180.68 162.49,-178.55 162.49,-173 162.49,-168.66 156.91,-166.41 149.79,-166.25\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"143.53,-166.62 149.36,-163.571 146.525,-166.443 149.52,-166.266 149.52,-166.266 149.52,-166.266 146.525,-166.443 149.679,-168.961 143.53,-166.62 143.53,-166.62\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"172.99\" y=\"-169.3\">b, c</text>\n", "</g>\n", "<!-- 4 -->\n", "<g class=\"node\" id=\"node7\"><title>4</title>\n", "<ellipse cx=\"301.33\" cy=\"-242.48\" fill=\"#98f5ff\" rx=\"18\" ry=\"18\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"301.33\" y=\"-238.78\">4</text>\n", "</g>\n", "<!-- 3&#45;&gt;4 -->\n", "<g class=\"edge\" id=\"edge12\"><title>3-&gt;4</title>\n", "<path d=\"M143.25,-179.66C174.77,-192.18 242.86,-219.24 278.51,-233.41\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"284.36,-235.73 277.787,-236.028 281.571,-234.624 278.783,-233.518 278.783,-233.518 278.783,-233.518 281.571,-234.624 279.778,-231.008 284.36,-235.73 284.36,-235.73\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"207.38\" y=\"-210.33\">a</text>\n", "</g>\n", "<!-- 4&#45;&gt;0 -->\n", "<g class=\"edge\" id=\"edge13\"><title>4-&gt;0</title>\n", "<path d=\"M283.19,-243.76C266.12,-244.97 240.43,-246.78 221.58,-248.11\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"215.46,-248.55 221.251,-245.427 218.452,-248.335 221.445,-248.12 221.445,-248.12 221.445,-248.12 218.452,-248.335 221.638,-250.813 215.46,-248.55 215.46,-248.55\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"248.88\" y=\"-249.74\">c</text>\n", "</g>\n", "<!-- 4&#45;&gt;4 -->\n", "<g class=\"edge\" id=\"edge14\"><title>4-&gt;4</title>\n", "<path d=\"M318.37,-248.86C328.19,-250.16 337.33,-248.03 337.33,-242.48 337.33,-238.14 331.75,-235.89 324.63,-235.74\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"318.37,-236.1 324.205,-233.06 321.365,-235.928 324.36,-235.755 324.36,-235.755 324.36,-235.755 321.365,-235.928 324.515,-238.451 318.37,-236.1 318.37,-236.1\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"347.83\" y=\"-238.78\">b, c</text>\n", "</g>\n", "<!-- 5 -->\n", "<g class=\"node\" id=\"node8\"><title>5</title>\n", "<ellipse cx=\"360.52\" cy=\"-156.46\" fill=\"#98f5ff\" rx=\"18\" ry=\"18\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"360.52\" y=\"-152.76\">5</text>\n", "</g>\n", "<!-- 4&#45;&gt;5 -->\n", "<g class=\"edge\" id=\"edge15\"><title>4-&gt;5</title>\n", "<path d=\"M311.64,-227.5C321.34,-213.4 335.94,-192.18 346.65,-176.61\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"350.13,-171.56 348.949,-178.033 348.428,-174.03 346.725,-176.501 346.725,-176.501 346.725,-176.501 348.428,-174.03 344.502,-174.968 350.13,-171.56 350.13,-171.56\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"325.65\" y=\"-205.85\">a</text>\n", "</g>\n", "<!-- 5&#45;&gt;0 -->\n", "<g class=\"edge\" id=\"edge16\"><title>5-&gt;0</title>\n", "<path d=\"M344.85,-165.42C315.42,-182.24 251.81,-218.61 218.5,-237.65\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"213.03,-240.77 216.904,-235.452 215.636,-239.284 218.242,-237.797 218.242,-237.797 218.242,-237.797 215.636,-239.284 219.58,-240.143 213.03,-240.77 213.03,-240.77\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"278.18\" y=\"-205.33\">c</text>\n", "</g>\n", "<!-- 5&#45;&gt;5 -->\n", "<g class=\"edge\" id=\"edge17\"><title>5-&gt;5</title>\n", "<path d=\"M377.55,-162.84C387.37,-164.14 396.52,-162.02 396.52,-156.46 396.52,-152.12 390.94,-149.88 383.81,-149.72\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"377.55,-150.08 383.385,-147.04 380.545,-149.908 383.54,-149.735 383.54,-149.735 383.54,-149.735 380.545,-149.908 383.695,-152.431 377.55,-150.08 377.55,-150.08\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"407.02\" y=\"-152.76\">b, c</text>\n", "</g>\n", "<!-- 6 -->\n", "<g class=\"node\" id=\"node9\"><title>6</title>\n", "<ellipse cx=\"330.17\" cy=\"-56.56\" fill=\"#98f5ff\" rx=\"18\" ry=\"18\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"330.17\" y=\"-52.86\">6</text>\n", "</g>\n", "<!-- 5&#45;&gt;6 -->\n", "<g class=\"edge\" id=\"edge18\"><title>5-&gt;6</title>\n", "<path d=\"M355.23,-139.06C350.26,-122.69 342.77,-98.049 337.27,-79.959\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"335.49,-74.097 339.817,-79.0536 336.362,-76.9676 337.233,-79.8382 337.233,-79.8382 337.233,-79.8382 336.362,-76.9676 334.65,-80.6227 335.49,-74.097 335.49,-74.097\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"342.75\" y=\"-113.31\">a</text>\n", "</g>\n", "<!-- 6&#45;&gt;0 -->\n", "<g class=\"edge\" id=\"edge19\"><title>6-&gt;0</title>\n", "<path d=\"M319.95,-71.405C296.36,-105.7 238.04,-190.45 211.16,-229.52\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"207.43,-234.94 208.607,-228.467 209.131,-232.469 210.832,-229.997 210.832,-229.997 210.832,-229.997 209.131,-232.469 213.056,-231.528 207.43,-234.94 207.43,-234.94\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"255.56\" y=\"-154.26\">a, c</text>\n", "</g>\n", "<!-- 6&#45;&gt;6 -->\n", "<g class=\"edge\" id=\"edge20\"><title>6-&gt;6</title>\n", "<path d=\"M347.2,-62.939C357.02,-64.241 366.17,-62.115 366.17,-56.56 366.17,-52.22 360.59,-49.973 353.46,-49.818\" fill=\"none\" stroke=\"black\"/>\n", "<polygon fill=\"black\" points=\"347.2,-50.181 353.034,-47.1381 350.195,-50.0073 353.19,-49.8336 353.19,-49.8336 353.19,-49.8336 350.195,-50.0073 353.346,-52.529 347.2,-50.181 347.2,-50.181\" stroke=\"black\"/>\n", "<text font-family=\"Times,serif\" font-size=\"14.00\" text-anchor=\"middle\" x=\"376.67\" y=\"-52.86\">b, c</text>\n", "</g>\n", "</g>\n", "</svg>" ], "text/plain": [ "<IPython.core.display.SVG object>" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from IPython.display import SVG\n", "SVG(b.ladybird(7).as_svg(engine = 'circo'))" ] } ], "metadata": {}, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0